OpenCores
URL https://opencores.org/ocsvn/cryptography/cryptography/trunk

Subversion Repositories cryptography

[/] [cryptography/] [trunk/] [encryption/] [encryptor_tb.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 marcus.erl
LIBRARY ieee  ;
2
USE ieee.std_logic_1164.all  ;
3
USE ieee.std_logic_arith.all  ;
4
USE ieee.std_logic_unsigned.all  ;
5
ENTITY encryptor_tb  IS
6
END ;
7
 
8
ARCHITECTURE encryptor_tb_arch OF encryptor_tb IS
9
  SIGNAL ciphertext   :  std_logic_vector (15 downto 0)  ;
10
  SIGNAL clock   :  std_logic :='0' ;
11
  SIGNAL round_keyse   :  std_logic_vector (15 downto 0)  ;
12
  SIGNAL ready_e   :  std_logic  ;
13
  SIGNAL plaintext_e   :  std_logic_vector (15 downto 0)  ;
14
  SIGNAL start_e   :  std_logic  ;
15
  SIGNAL reset   :  std_logic  ;
16
  COMPONENT encryptor
17
    PORT (
18
      ciphertext  : out std_logic_vector (15 downto 0) ;
19
      clock  : in std_logic ;
20
      round_keyse  : in std_logic_vector (15 downto 0) ;
21
      ready_e  : out std_logic ;
22
      plaintext_e  : in std_logic_vector (15 downto 0) ;
23
      start_e  : in std_logic ;
24
      reset  : in std_logic );
25
  END COMPONENT ;
26
BEGIN
27
  DUT  : encryptor
28
    PORT MAP (
29
      ciphertext   => ciphertext  ,
30
      clock   => clock  ,
31
      round_keyse   => round_keyse  ,
32
      ready_e   => ready_e  ,
33
      plaintext_e   => plaintext_e  ,
34
      start_e   => start_e  ,
35
      reset   => reset   ) ;
36
 
37
process
38
begin
39
reset<='1';
40
wait for 10 ns;
41
start_e<='1';
42
reset<='0';
43
 
44
wait for 3000 ns;
45
end process;
46
 
47
 
48
process(clock)
49
begin
50
clock<= not clock after 10 ns;
51
end process;
52
 
53
process
54
begin
55
  wait for 30 ns;
56
  plaintext_e<="0000000000000001";
57
  wait for 20 ns;
58
  plaintext_e<="0000000000000010";
59
  wait for 20 ns;
60
  plaintext_e<="0000000000000011";
61
  wait for 20 ns;
62
  plaintext_e<="0000000000000100";
63
  wait for 3000 ns;
64
end process;
65
 
66
process
67
begin
68
    wait for 10 ns;
69
    round_keyse<="0000000000000000";
70
    wait for 3000 ns;
71
end process;
72
 
73
END ;
74
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.