OpenCores
URL https://opencores.org/ocsvn/cryptography/cryptography/trunk

Subversion Repositories cryptography

[/] [cryptography/] [trunk/] [encryption/] [full_adder.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 marcus.erl
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    11:41:01 10/09/2007 
6
-- Design Name: 
7
-- Module Name:    full_adder - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
 
25
 
26
 
27
entity full_adder is
28
 
29
port (    a,b,c : in std_logic_vector (15 downto 0);
30
          sf,cf : out std_logic_vector (15 downto 0));
31
end full_adder;
32
 
33
architecture Behavioral of full_adder is
34
signal sig:std_logic_vector (15 downto 0);
35
begin
36
   sf <= a xor b xor c;
37
   sig <= (a and b)or (a and c) or (b and c);
38
   cf <= (sig(14 downto 0) & '0');
39
end Behavioral;
40
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.