OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [doc/] [readme] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 Simon111
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  cas core                                                   ////
4
////                                                             ////
5
////  Author: Simon Panti                                        ////
6
////          mengxipeng@gmail.com                               ////
7
////                                                             ////
8
/////////////////////////////////////////////////////////////////////
9
////                                                             ////
10
//// Copyright (C) 2007 Simon Panti                              ////
11
////                    mengxipeng@gmail.com                     ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
19
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
20
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
21
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
22
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
23
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
24
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
25
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
26
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
27
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
28
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
29
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
30
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
31
////                                                             ////
32
/////////////////////////////////////////////////////////////////////
33
 
34
 
35
 
36 50 simon111
csa core
37 4 Simon111
===============
38
attached is a cas core implementation in verilog. it implement
39
a cas descrambler
40
 
41
reference:
42
                csa.c and csa.h in vlc opensource project.
43
 
44
 
45 50 simon111
archecture
46
===============
47
the top module is the group_decrypt.
48 4 Simon111
 
49
Status
50
======
51
7-sep-2007      added key csa_ComputeKey
52 50 simon111
4-May-2009      group_decrypt module pass modelsim basicly
53 4 Simon111
 
54 50 simon111
 
55
How to test this core
56
========================
57
this project mainly has three dictories:
58
     rtl bench and sw_sim
59
every module have a file in these dictories
60
 
61
i simualted my modules by open source program veriwell and iverilog in the early time , but
62
they don't work well, and generate some error result sometime. so i use the modelsim now.
63
 
64 4 Simon111
 
65 50 simon111
1) generate test data
66
   cd   
67
   make MODULE= preare_    ( for example: make MODULE=group_decrypt preare_group_decrypt )
68
 
69
   this command will generate a binary file  /test_dat/.in
70
   (for example: test_dat/group_decrypt.in )
71
 
72
2) software simulate
73
   cd  /sw_sim
74
   make MODULE= tt
75
   this command will compile  and run the module test program
76
   and will generate a binary output file /test_dat/.out.sw
77
   (for example: test_dat/group_decrypt.out.sw )
78
 
79
3) run test bench
80
   start the modelsim      (i use the modelsim 6.2b LE)
81
   cd  /modelsim6.2b
82
   run .do tcl script,  ( for example: do group_decrypt.do )
83
   and will generate a binary output file /test_dat/.out.v
84
 
85
if the two output file is same, this module is pass
86
 
87
 
88
 
89
 
90
 
91
 
92
 
93
 
94 4 Simon111
Directory Structure
95
===================
96
[core_root]
97
 |
98
 +-doc                        Documentation
99
 |
100
 +-bench--+                   Test Bench
101
 |
102
 +-rtl----+                   Core RTL Sources
103 50 simon111
 |
104
 +-modelsim6.2b--+            modelsim files
105
 |
106
 +-quartus10--+               altera quartus 10.1 project file
107
 |
108
 +-sw_sim-----+               the pc programs for generate some test data
109
 |
110
 +-test_data--+               the test datas for test bench
111 4 Simon111
 
112
 
113 50 simon111
 
114
 
115
 
116
 
117 4 Simon111
about the author
118
================
119
if you have some issues and advance, please contact me:
120 50 simon111
 mengxipeng@gmail.com

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.