OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [modelsim6.2b/] [stream_cypher.do] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 simon111
 
2
quit -sim
3
 
4
          vlib work
5
 
6
puts {
7
          ModelSimSE general compile script version 1.1
8
            Copyright (c) Doulos June 2004, SD
9
}
10
 
11
# Simply change the project settings in this section
12
# for each new project. There should be no need to
13
# modify the rest of the script.
14
 
15
set library_file_list {
16
                                   design_library {
17
                                        ../rtl/stream_cypher.v
18 22 simon111
                                        ../rtl/stream_iteration.v
19 19 simon111
                                        ../rtl/stream_8bytes.v
20
                                        ../rtl/sboxes.v
21
                                        ../rtl/sbox1.v
22
                                        ../rtl/sbox2.v
23
                                        ../rtl/sbox3.v
24
                                        ../rtl/stream_byte.v
25
                                        ../rtl/sbox4.v
26
                                        ../rtl/sbox5.v
27
                                        ../rtl/sbox6.v
28
                                        ../rtl/sbox7.v
29
                                   }
30
 
31
                                 test_library   {
32
                                        ../bench/stream_cypher_tb.v
33 22 simon111
                                        ../bench/decrypt_tb.v
34 19 simon111
                                         }
35
}
36
set top_level              work.stream_cypher_tb
37
 
38
set wave_radices {
39
                                   hexadecimal {data q}
40
}
41
 
42
 
43
# After sourcing the script from ModelSim for the
44
# first time use these commands to recompile.
45
 
46
proc r  {} {uplevel #0 source compile.tcl}
47
proc rr {} {global last_compile_time
48
            set last_compile_time 0
49
                        r                            }
50
                        proc q  {} {quit -force                  }
51
 
52
#Does this installation support Tk?
53
set tk_ok 1
54
if [catch {package require Tk}] {set tk_ok 0}
55
 
56
# Prefer a fixed point font for the transcript
57
set PrefMain(font) {Courier 10 roman normal}
58
 
59
# Compile out of date files
60
set time_now [clock seconds]
61
if [catch {set last_compile_time}] {
62
          set last_compile_time 0
63
}
64
foreach {library file_list} $library_file_list {
65 49 simon111
        vmap work work
66
                foreach file $file_list {
67
                        if { $last_compile_time < [file mtime $file] } {
68
                                if [regexp {.vhdl?$} $file] {
69
                                        vcom -93 $file
70
                                } else {
71
                                        vlog $file
72
                                }
73
                                set last_compile_time 0
74
                        }
75
                }
76 19 simon111
}
77
set last_compile_time $time_now
78
 
79
# Load the simulation
80
vsim $top_level -pli ../bench/csa_pli.sl
81
 
82
 
83 22 simon111
add wave -r /*
84 19 simon111
 
85
radix -hexadecimal
86
 
87
# Run the simulation
88
run -all
89
 
90
 
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.