OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [quartus10/] [csa.qsf] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 28 simon111
# Copyright (C) 1991-2008 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               csa_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY Cyclone
27
set_global_assignment -name DEVICE EP1C6Q240C8
28
set_global_assignment -name TOP_LEVEL_ENTITY csa_fpga
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 8.1
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:03:51  APRIL 15, 2009"
31
set_global_assignment -name LAST_QUARTUS_VERSION 8.1
32
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
33
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
34
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
35
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
36
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
37
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
38
set_global_assignment -name LL_ROOT_REGION ON -entity csa -section_id "Root Region"
39
set_global_assignment -name LL_MEMBER_STATE LOCKED -entity csa -section_id "Root Region"
40 30 simon111
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
41
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
42
set_location_assignment PIN_224 -to fifoadr[0]
43
set_location_assignment PIN_223 -to fifoadr[1]
44
set_location_assignment PIN_208 -to fd[0]
45
set_location_assignment PIN_213 -to fd[1]
46
set_location_assignment PIN_214 -to fd[2]
47
set_location_assignment PIN_215 -to fd[3]
48
set_location_assignment PIN_236 -to fd[4]
49
set_location_assignment PIN_235 -to fd[5]
50
set_location_assignment PIN_234 -to fd[6]
51
set_location_assignment PIN_233 -to fd[7]
52
set_location_assignment PIN_220 -to fd[8]
53
set_location_assignment PIN_219 -to fd[9]
54
set_location_assignment PIN_218 -to fd[10]
55
set_location_assignment PIN_217 -to fd[11]
56
set_location_assignment PIN_216 -to fd[12]
57
set_location_assignment PIN_202 -to fd[13]
58
set_location_assignment PIN_203 -to fd[14]
59
set_location_assignment PIN_204 -to fd[15]
60
set_location_assignment PIN_222 -to pktend
61
set_location_assignment PIN_221 -to slcs
62
set_location_assignment PIN_225 -to sloe
63
set_location_assignment PIN_205 -to slrd
64
set_location_assignment PIN_206 -to slwr
65
set_location_assignment PIN_28 -to clk
66
set_location_assignment PIN_66 -to bell
67
set_location_assignment PIN_226 -to flagc
68
set_location_assignment PIN_207 -to ifclk
69
set_location_assignment PIN_227 -to flagb
70
set_location_assignment PIN_228 -to flaga
71
set_location_assignment PIN_134 -to led[0]
72
set_location_assignment PIN_135 -to led[1]
73
set_location_assignment PIN_136 -to led[2]
74
set_location_assignment PIN_137 -to led[3]
75
set_location_assignment PIN_138 -to led[4]
76
set_location_assignment PIN_139 -to led[5]
77
set_location_assignment PIN_140 -to led[6]
78
set_location_assignment PIN_141 -to led[7]
79
set_location_assignment PIN_156 -to rst
80
set_location_assignment PIN_60 -to ledseg[0]
81
set_location_assignment PIN_61 -to ledseg[1]
82
set_location_assignment PIN_62 -to ledseg[2]
83
set_location_assignment PIN_63 -to ledseg[3]
84
set_location_assignment PIN_59 -to seg_d[0]
85
set_location_assignment PIN_58 -to seg_d[5]
86
set_location_assignment PIN_57 -to seg_d[1]
87
set_location_assignment PIN_56 -to seg_d[6]
88
set_location_assignment PIN_55 -to seg_d[2]
89
set_location_assignment PIN_54 -to seg_d[7]
90
set_location_assignment PIN_53 -to seg_d[3]
91
set_location_assignment PIN_50 -to seg_d[4]
92
set_global_assignment -name USE_SIGNALTAP_FILE csa.stp
93
set_global_assignment -name ENABLE_SIGNALTAP ON
94
set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
95
set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
96
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to ifclk -section_id auto_signaltap_0
97
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
98
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
99
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0
100
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=128" -section_id auto_signaltap_0
101
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
102
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
103
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0
104
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0
105
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0
106
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0
107
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=128" -section_id auto_signaltap_0
108
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0
109
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
110
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
111
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
112 39 simon111
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
113 44 simon111
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to bell -section_id auto_signaltap_0
114
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to bell -section_id auto_signaltap_0
115
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=1" -section_id auto_signaltap_0
116
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=1" -section_id auto_signaltap_0
117
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000" -section_id auto_signaltap_0
118
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=24" -section_id auto_signaltap_0
119
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=65169" -section_id auto_signaltap_0
120
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=13610" -section_id auto_signaltap_0
121
set_global_assignment -name VERILOG_FILE usb_cnt.v
122
set_global_assignment -name VERILOG_FILE ../rtl/key_cnt.v
123
set_global_assignment -name VERILOG_FILE ../rtl/group_decrypt.v
124
set_global_assignment -name VERILOG_FILE ../rtl/ts_serial_out.v
125
set_global_assignment -name VERILOG_FILE ../rtl/ts_sync.v
126 39 simon111
set_global_assignment -name VERILOG_FILE ledseg_cnt.v
127
set_global_assignment -name VERILOG_FILE led_cnt.v
128
set_global_assignment -name VERILOG_FILE hex2seg.v
129
set_global_assignment -name SIGNALTAP_FILE csa.stp
130
set_global_assignment -name VERILOG_FILE ../rtl/sbox1.v
131
set_global_assignment -name VERILOG_FILE ../rtl/sbox2.v
132
set_global_assignment -name VERILOG_FILE ../rtl/sbox3.v
133
set_global_assignment -name VERILOG_FILE ../rtl/sbox4.v
134
set_global_assignment -name VERILOG_FILE ../rtl/sbox5.v
135
set_global_assignment -name VERILOG_FILE ../rtl/sbox6.v
136
set_global_assignment -name VERILOG_FILE ../rtl/sbox7.v
137
set_global_assignment -name VERILOG_FILE ../rtl/sboxes.v
138
set_global_assignment -name VERILOG_FILE ../rtl/stream_iteration.v
139
set_global_assignment -name VERILOG_FILE ../rtl/stream_byte.v
140
set_global_assignment -name VERILOG_FILE ../rtl/stream_8bytes.v
141
set_global_assignment -name VERILOG_FILE ../rtl/key_perm.v
142
set_global_assignment -name VERILOG_FILE ../rtl/block_perm.v
143
set_global_assignment -name VERILOG_FILE ../rtl/block_sbox.v
144
set_global_assignment -name VERILOG_FILE ../rtl/stream_cypher.v
145
set_global_assignment -name VERILOG_FILE ../rtl/key_schedule.v
146
set_global_assignment -name VERILOG_FILE ../rtl/block_decypher.v
147
set_global_assignment -name VERILOG_FILE ../rtl/decrypt.v
148 44 simon111
set_global_assignment -name VERILOG_FILE csa_fpga.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.