OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [Clock_VHDL.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
---------------------------------------------------------------------
2
-- File :                       DDR2_Control_VHDL.vhd
3
-- Projekt :            Prj_12_DDR2
4
-- Zweck :                      DDR2-Verwaltung (Init,Read,Write)
5
-- Datum :        19.08.2011
6
-- Version :      2.0
7
-- Plattform :    XILINX Spartan-3A
8
-- FPGA :         XC3S700A-FGG484
9
-- Sprache :      VHDL
10
-- ISE :                                ISE-Design-Suite V:13.1
11
-- Autor :        UB
12
-- Mail :         Becker_U(at)gmx.de
13
---------------------------------------------------------------------
14
library IEEE;
15
use IEEE.STD_LOGIC_1164.ALL;
16
 
17
entity Clock_VHDL is
18
        --------------------------------------------
19
        -- Port Deklerationen
20
        --------------------------------------------
21
        port (
22
                clk_in_133MHz : in std_logic;
23
                clk_out_1Hz : out std_logic
24
        );
25
 
26
end Clock_VHDL;
27
 
28
architecture Verhalten of Clock_VHDL is
29
 
30
        --------------------------------------------
31
        -- Interne Signale
32
        --------------------------------------------
33
        constant STATUS_LED_VORTEILER : integer := 66500000; -- 1Hz bei 133MHz Quarzclock
34
        signal v_cnt1 : natural range 0 to STATUS_LED_VORTEILER  := 0;
35
        signal clk1Hz           : std_logic := '0';
36
 
37
 
38
begin
39
 
40
        -------------------------------------------------------
41
        -- erzeugen eines 1Hz Signales aus dem Eingangstakt
42
        -------------------------------------------------------
43
        Timer2 : process (clk_in_133MHz) begin
44
                if rising_edge(clk_in_133MHz) then
45
                        v_cnt1 <= v_cnt1 + 1;
46
                        if(v_cnt1 >= STATUS_LED_VORTEILER) then
47
                                -- wenn zeit abgelaufen, signal toggeln
48
                                v_cnt1 <= 0;
49
                                clk1Hz <= not clk1Hz;
50
                        end if;
51
                end if;
52
        end process Timer2;
53
 
54
        -------------------------------------------------------
55
        -- Uebergabe aller Signale
56
        -------------------------------------------------------         
57
        clk_out_1Hz<=clk1Hz;
58
 
59
 
60
 
61
end Verhalten;
62
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.