OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [Testbench_DDR2/] [Clock/] [Testbench_DDR2_Core.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 john_fpga
--------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:
4
--
5
-- Create Date:   13:51:05 06/03/2012
6
-- Design Name:   
7
-- Module Name:   F:/Data_Temp_Ordner/Xilinx/Projekte/Test_Prj22/test_prj22/Testbench_DDR2_Core.vhd
8
-- Project Name:  test_prj22
9
-- Target Device:  
10
-- Tool versions:  
11
-- Description:   
12
-- 
13
-- VHDL Test Bench Created by ISE for module: DDR2_Ram_Core
14
-- 
15
-- Dependencies:
16
-- 
17
-- Revision:
18
-- Revision 0.01 - File Created
19
-- Additional Comments:
20
--
21
-- Notes: 
22
-- This testbench has been automatically generated using types std_logic and
23
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
24
-- that these types always be used for the top-level I/O of a design in order
25
-- to guarantee that the testbench will bind correctly to the post-implementation 
26
-- simulation model.
27
--------------------------------------------------------------------------------
28
LIBRARY ieee;
29
USE ieee.std_logic_1164.ALL;
30
 
31
-- Uncomment the following library declaration if using
32
-- arithmetic functions with Signed or Unsigned values
33
--USE ieee.numeric_std.ALL;
34
 
35
ENTITY Testbench_DDR2_Core IS
36
END Testbench_DDR2_Core;
37
 
38
ARCHITECTURE behavior OF Testbench_DDR2_Core IS
39
 
40
    -- Component Declaration for the Unit Under Test (UUT)
41
 
42
    COMPONENT DDR2_Ram_Core
43
    PORT(
44
         cntrl0_ddr2_dq : INOUT  std_logic_vector(15 downto 0);
45
         cntrl0_ddr2_a : OUT  std_logic_vector(12 downto 0);
46
         cntrl0_ddr2_ba : OUT  std_logic_vector(1 downto 0);
47
         cntrl0_ddr2_cke : OUT  std_logic;
48
         cntrl0_ddr2_cs_n : OUT  std_logic;
49
         cntrl0_ddr2_ras_n : OUT  std_logic;
50
         cntrl0_ddr2_cas_n : OUT  std_logic;
51
         cntrl0_ddr2_we_n : OUT  std_logic;
52
         cntrl0_ddr2_odt : OUT  std_logic;
53
         cntrl0_ddr2_dm : OUT  std_logic_vector(1 downto 0);
54
         cntrl0_rst_dqs_div_in : IN  std_logic;
55
         cntrl0_rst_dqs_div_out : OUT  std_logic;
56
         sys_clk_in : IN  std_logic;
57
         reset_in_n : IN  std_logic;
58
         cntrl0_burst_done : IN  std_logic;
59
         cntrl0_init_done : OUT  std_logic;
60
         cntrl0_ar_done : OUT  std_logic;
61
         cntrl0_user_data_valid : OUT  std_logic;
62
         cntrl0_auto_ref_req : OUT  std_logic;
63
         cntrl0_user_cmd_ack : OUT  std_logic;
64
         cntrl0_user_command_register : IN  std_logic_vector(2 downto 0);
65
         cntrl0_clk_tb : OUT  std_logic;
66
         cntrl0_clk90_tb : OUT  std_logic;
67
         cntrl0_sys_rst_tb : OUT  std_logic;
68
         cntrl0_sys_rst90_tb : OUT  std_logic;
69
         cntrl0_sys_rst180_tb : OUT  std_logic;
70
         cntrl0_user_output_data : OUT  std_logic_vector(31 downto 0);
71
         cntrl0_user_input_data : IN  std_logic_vector(31 downto 0);
72
         cntrl0_user_data_mask : IN  std_logic_vector(3 downto 0);
73
         cntrl0_user_input_address : IN  std_logic_vector(24 downto 0);
74
         cntrl0_ddr2_dqs : INOUT  std_logic_vector(1 downto 0);
75
         cntrl0_ddr2_dqs_n : INOUT  std_logic_vector(1 downto 0);
76
         cntrl0_ddr2_ck : OUT  std_logic_vector(0 downto 0);
77
         cntrl0_ddr2_ck_n : OUT  std_logic_vector(0 downto 0)
78
        );
79
    END COMPONENT;
80
 
81
 
82
   --Inputs
83
   signal cntrl0_rst_dqs_div_in : std_logic := '0';
84
   signal sys_clk_in : std_logic := '0';
85
   signal reset_in_n : std_logic := '0';
86
   signal cntrl0_burst_done : std_logic := '0';
87
   signal cntrl0_user_command_register : std_logic_vector(2 downto 0) := (others => '0');
88
   signal cntrl0_user_input_data : std_logic_vector(31 downto 0) := (others => '0');
89
   signal cntrl0_user_data_mask : std_logic_vector(3 downto 0) := (others => '0');
90
   signal cntrl0_user_input_address : std_logic_vector(24 downto 0) := (others => '0');
91
 
92
        --BiDirs
93
   signal cntrl0_ddr2_dq : std_logic_vector(15 downto 0);
94
   signal cntrl0_ddr2_dqs : std_logic_vector(1 downto 0);
95
   signal cntrl0_ddr2_dqs_n : std_logic_vector(1 downto 0);
96
 
97
        --Outputs
98
   signal cntrl0_ddr2_a : std_logic_vector(12 downto 0);
99
   signal cntrl0_ddr2_ba : std_logic_vector(1 downto 0);
100
   signal cntrl0_ddr2_cke : std_logic;
101
   signal cntrl0_ddr2_cs_n : std_logic;
102
   signal cntrl0_ddr2_ras_n : std_logic;
103
   signal cntrl0_ddr2_cas_n : std_logic;
104
   signal cntrl0_ddr2_we_n : std_logic;
105
   signal cntrl0_ddr2_odt : std_logic;
106
   signal cntrl0_ddr2_dm : std_logic_vector(1 downto 0);
107
   signal cntrl0_rst_dqs_div_out : std_logic;
108
   signal cntrl0_init_done : std_logic;
109
   signal cntrl0_ar_done : std_logic;
110
   signal cntrl0_user_data_valid : std_logic;
111
   signal cntrl0_auto_ref_req : std_logic;
112
   signal cntrl0_user_cmd_ack : std_logic;
113
   signal cntrl0_clk_tb : std_logic;
114
   signal cntrl0_clk90_tb : std_logic;
115
   signal cntrl0_sys_rst_tb : std_logic;
116
   signal cntrl0_sys_rst90_tb : std_logic;
117
   signal cntrl0_sys_rst180_tb : std_logic;
118
   signal cntrl0_user_output_data : std_logic_vector(31 downto 0);
119
   signal cntrl0_ddr2_ck : std_logic_vector(0 downto 0);
120
   signal cntrl0_ddr2_ck_n : std_logic_vector(0 downto 0);
121
   -- No clocks detected in port list. Replace <clock> below with 
122
   -- appropriate port name 
123
 
124
   constant sys_clk_in_period : time := 7.5 ns; -- 133.33MHz
125
 
126
BEGIN
127
 
128
        -- Instantiate the Unit Under Test (UUT)
129
   uut: DDR2_Ram_Core PORT MAP (
130
          cntrl0_ddr2_dq => cntrl0_ddr2_dq,
131
          cntrl0_ddr2_a => cntrl0_ddr2_a,
132
          cntrl0_ddr2_ba => cntrl0_ddr2_ba,
133
          cntrl0_ddr2_cke => cntrl0_ddr2_cke,
134
          cntrl0_ddr2_cs_n => cntrl0_ddr2_cs_n,
135
          cntrl0_ddr2_ras_n => cntrl0_ddr2_ras_n,
136
          cntrl0_ddr2_cas_n => cntrl0_ddr2_cas_n,
137
          cntrl0_ddr2_we_n => cntrl0_ddr2_we_n,
138
          cntrl0_ddr2_odt => cntrl0_ddr2_odt,
139
          cntrl0_ddr2_dm => cntrl0_ddr2_dm,
140
          cntrl0_rst_dqs_div_in => cntrl0_rst_dqs_div_in,
141
          cntrl0_rst_dqs_div_out => cntrl0_rst_dqs_div_out,
142
          sys_clk_in => sys_clk_in,
143
          reset_in_n => reset_in_n,
144
          cntrl0_burst_done => cntrl0_burst_done,
145
          cntrl0_init_done => cntrl0_init_done,
146
          cntrl0_ar_done => cntrl0_ar_done,
147
          cntrl0_user_data_valid => cntrl0_user_data_valid,
148
          cntrl0_auto_ref_req => cntrl0_auto_ref_req,
149
          cntrl0_user_cmd_ack => cntrl0_user_cmd_ack,
150
          cntrl0_user_command_register => cntrl0_user_command_register,
151
          cntrl0_clk_tb => cntrl0_clk_tb,
152
          cntrl0_clk90_tb => cntrl0_clk90_tb,
153
          cntrl0_sys_rst_tb => cntrl0_sys_rst_tb,
154
          cntrl0_sys_rst90_tb => cntrl0_sys_rst90_tb,
155
          cntrl0_sys_rst180_tb => cntrl0_sys_rst180_tb,
156
          cntrl0_user_output_data => cntrl0_user_output_data,
157
          cntrl0_user_input_data => cntrl0_user_input_data,
158
          cntrl0_user_data_mask => cntrl0_user_data_mask,
159
          cntrl0_user_input_address => cntrl0_user_input_address,
160
          cntrl0_ddr2_dqs => cntrl0_ddr2_dqs,
161
          cntrl0_ddr2_dqs_n => cntrl0_ddr2_dqs_n,
162
          cntrl0_ddr2_ck => cntrl0_ddr2_ck,
163
          cntrl0_ddr2_ck_n => cntrl0_ddr2_ck_n
164
        );
165
 
166
   -- Clock process definitions
167
   sys_clk_in_process :process
168
   begin
169
                sys_clk_in <= '0';
170
                wait for sys_clk_in_period/2;
171
                sys_clk_in <= '1';
172
                wait for sys_clk_in_period/2;
173
   end process;
174
 
175
 
176
   -- Stimulus process
177
   stim_proc: process
178
   begin
179
      -- hold reset state for 50 ns.
180
      wait for 50 ns;
181
 
182
      -- insert stimulus here 
183
                reset_in_n <= '1'; -- reset disable
184
 
185
      wait;
186
   end process;
187
 
188
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.