OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [UB_Clock_UCF.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
#########################################
2
# File : UB_Clock_UCF.ucf
3
# Autor : UB
4
#
5
# Constraint-File fuer die externen Clock-Quellen
6
# auf dem Spartan-3A Board
7
#
8
# CLK_50MHZ_IN = 50 MHz
9
# CLK_AUX_IN = 133,33 MHz
10
# CLK_SMA_IN = nicht belegt
11
#
12
#
13
# unbenutzte Netze per '#' deaktivieren
14
#
15
#########################################
16
 
17
#NET "CLK_50MHZ_IN" LOC = "E12"| IOSTANDARD = LVCMOS33 ;
18
NET "CLK_AUX_IN" LOC = "V12"| IOSTANDARD = LVCMOS33 ;
19
#NET "CLK_SMA_IN" LOC = "U12"| IOSTANDARD = LVCMOS33 ;
20
 
21
 
22
#########################################
23
# Port-Zuweisungen
24
#########################################
25
#
26
#
27
# CLK_50MHZ_IN : in std_logic;
28
# CLK_AUX_IN : in std_logic;
29
# CLK_SMA_IN : in std_logic;
30
#
31
#########################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.