OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [UB_Led_BUS_UCF.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
#########################################
2
# File : UB_LED_BUS_UCF.ucf
3
# Autor : UB
4
#
5
# Constraint-File fuer die 8 LEDs
6
# auf dem Spartan-3A Board
7
#
8
# als 8bit BUS
9
#
10
# LED leuchtet bei HI
11
#
12
# unbenutzte Netze per '#' deaktivieren
13
#
14
#########################################
15
 
16
 
17
NET "LED_OUT<7>" LOC = "W21" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
18
NET "LED_OUT<6>" LOC = "Y22" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
19
NET "LED_OUT<5>" LOC = "V20" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
20
NET "LED_OUT<4>" LOC = "V19" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
21
NET "LED_OUT<3>" LOC = "U19" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
22
NET "LED_OUT<2>" LOC = "U20" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
23
NET "LED_OUT<1>" LOC = "T19" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
24
NET "LED_OUT<0>" LOC = "R20" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
25
 
26
#########################################
27
# Port-Zuweisungen
28
#########################################
29
#
30
#
31
# LED_OUT : out std_logic_vector(7 downto 0);
32
#
33
#########################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.