OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [UB_Schalter_BUS_UCF.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
#########################################
2
# File : UB_Schalter_UCF.ucf
3
# Autor : UB
4
#
5
# Constraint-File fuer die 4 Schalter
6
# auf dem Spartan-3A Board
7
#
8
# als 4bit BUS
9
#
10
# Signal ist Hi oder Lo je nach Position
11
#
12
# unbenutzte Netze per '#' deaktivieren
13
#
14
#########################################
15
 
16
NET "SW_IN<0>" LOC = "V8" | IOSTANDARD = LVCMOS33 ;
17
NET "SW_IN<1>" LOC = "U10"| IOSTANDARD = LVCMOS33 ;
18
NET "SW_IN<2>" LOC = "U8" | IOSTANDARD = LVCMOS33 ;
19
NET "SW_IN<3>" LOC = "T9" | IOSTANDARD = LVCMOS33 ;
20
 
21
 
22
#########################################
23
# Port-Zuweisungen
24
#########################################
25
#
26
#
27
# SW_IN : in std_logic_vector(3 downto 0);
28
#
29
#########################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.