OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [UB_Taster_BUS_UCF.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
#########################################
2
# File : UB_Taster_BUS_UCF.ucf
3
# Autor : UB
4
#
5
# Constraint-File fuer die 4 Buttons
6
# auf dem Spartan-3A Board
7
#
8
# als 4bit BUS
9
#
10
# Signal ist bei gedruecktem Taster = Hi
11
#
12
# unbenutzte Netze per '#' deaktivieren
13
#
14
#########################################
15
 
16
NET "BTN_IN<0>" LOC = "T16" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
17
NET "BTN_IN<1>" LOC = "T14" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
18
NET "BTN_IN<2>" LOC = "T15" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
19
NET "BTN_IN<3>" LOC = "U15" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
20
 
21
#########################################
22
# Port-Zuweisungen
23
#########################################
24
#
25
#
26
# BTN_IN : in std_logic_vector(3 downto 0);
27
#
28
#########################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.