OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [UB_Y-Led_UCF.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
#########################################
2
# File : UB_Y-LED_UCF.ucf
3
# Autor : UB
4
#
5
# Constraint-File fuer die Gelbe LED
6
# (AWAKE) auf dem Spartan-3A Board
7
#
8
#
9
# LED leuchtet bei HI
10
#
11
# unbenutzte Netze per '#' deaktivieren
12
#
13
#########################################
14
 
15
# um die LED zu nutzen, muss der Suspend-Mode
16
# ausgeschaltet werden
17
 
18
CONFIG ENABLE_SUSPEND = NO ;
19
NET "LED_YELLOW_OUT" LOC = "AB15" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
20
 
21
 
22
#########################################
23
# Port-Zuweisungen
24
#########################################
25
#
26
#
27
# LED_YELLOW_OUT : out std_logic;
28
#
29
#########################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.