OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [ipcore_dir/] [DDR2_Ram_Core/] [user_design/] [rtl/] [DDR2_Ram_Core_clk_dcm.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
--*****************************************************************************
2
-- DISCLAIMER OF LIABILITY
3
--
4
-- This file contains proprietary and confidential information of
5
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
-- from Xilinx, and may be used, copied and/or disclosed only
7
-- pursuant to the terms of a valid license agreement with Xilinx.
8
--
9
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
-- does not warrant that functions included in the Materials will
15
-- meet the requirements of Licensee, or that the operation of the
16
-- Materials will be uninterrupted or error-free, or that defects
17
-- in the Materials will be corrected. Furthermore, Xilinx does
18
-- not warrant or make any representations regarding use, or the
19
-- results of the use, of the Materials in terms of correctness,
20
-- accuracy, reliability or otherwise.
21
--
22
-- Xilinx products are not designed or intended to be fail-safe,
23
-- or for use in any application requiring fail-safe performance,
24
-- such as life-support or safety devices or systems, Class III
25
-- medical devices, nuclear facilities, applications related to
26
-- the deployment of airbags, or any other applications that could
27
-- lead to death, personal injury or severe property or
28
-- environmental damage (individually and collectively, "critical
29
-- applications"). Customer assumes the sole risk and liability
30
-- of any use of Xilinx products in critical applications,
31
-- subject only to applicable laws and regulations governing
32
-- limitations on product liability.
33
--
34
-- Copyright 2005, 2006, 2007 Xilinx, Inc.
35
-- All rights reserved.
36
--
37
-- This disclaimer and copyright notice must be retained as part
38
-- of this file at all times.
39
--*****************************************************************************
40
--   ____  ____
41
--  /   /\/   /
42
-- /___/  \  /   Vendor             : Xilinx
43
-- \   \   \/    Version            : 3.6.1
44
--  \   \        Application        : MIG
45
--  /   /        Filename           : DDR2_Ram_Core_clk_dcm.vhd
46
-- /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
-- \   \  /  \   Date Created       : Mon May 2 2005
48
--  \___\/\___\
49
--
50
-- Device      : Spartan-3/3A/3A-DSP
51
-- Design Name : DDR2 SDRAM
52
-- Purpose     :     This module generates the system clock for controller block
53
--                    This also generates the recapture clock, clock for the
54
--                    Refresh counter and also for the data path
55
--*****************************************************************************
56
 
57
library ieee;
58
library UNISIM;
59
use ieee.std_logic_1164.all;
60
use UNISIM.VCOMPONENTS.all;
61
entity DDR2_Ram_Core_clk_dcm is
62
  port(
63
    input_clk : in  std_logic;
64
    rst       : in  std_logic;
65
    clk       : out std_logic;
66
    clk90     : out std_logic;
67
    dcm_lock  : out std_logic
68
    );
69
end DDR2_Ram_Core_clk_dcm;
70
 
71
architecture arc of DDR2_Ram_Core_clk_dcm is
72
 
73
  signal clk0dcm   : std_logic;
74
  signal clk90dcm  : std_logic;
75
  signal clk0_buf  : std_logic;
76
  signal clk90_buf : std_logic;
77
  signal gnd       : std_logic;
78
  signal dcm1_lock : std_logic;
79
 
80
begin
81
 
82
  gnd   <= '0';
83
  clk   <= clk0_buf;
84
  clk90 <= clk90_buf;
85
 
86
  DCM_INST1 : DCM
87
    generic map(
88
      DLL_FREQUENCY_MODE    => "LOW",
89
      DUTY_CYCLE_CORRECTION => true
90
      )
91
    port map (
92
      CLKIN    => input_clk,
93
      CLKFB    => clk0_buf,
94
      DSSEN    => gnd,
95
      PSINCDEC => gnd,
96
      PSEN     => gnd,
97
      PSCLK    => gnd,
98
      RST      => rst,
99
      CLK0     => clk0dcm,
100
      CLK90    => clk90dcm,
101
      CLK180   => open,
102
      CLK270   => open,
103
      CLK2X    => open,
104
      CLK2X180 => open,
105
      CLKDV    => open,
106
      CLKFX    => open,
107
      CLKFX180 => open,
108
      LOCKED   => dcm1_lock,
109
      PSDONE   => open,
110
      STATUS   => open
111
      );
112
 
113
  BUFG_CLK0 : BUFG
114
    port map (
115
      O  => clk0_buf,
116
      I  => clk0dcm
117
      );
118
 
119
  BUFG_CLK90 : BUFG
120
    port map (
121
      O  => clk90_buf,
122
      I  => clk90dcm
123
      );
124
 
125
  dcm_lock <= dcm1_lock;
126
 
127
end arc;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.