OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [ipcore_dir/] [DDR2_Ram_Core/] [user_design/] [rtl/] [DDR2_Ram_Core_data_path_iobs_0.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
--*****************************************************************************
2
-- DISCLAIMER OF LIABILITY
3
--
4
-- This file contains proprietary and confidential information of
5
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
-- from Xilinx, and may be used, copied and/or disclosed only
7
-- pursuant to the terms of a valid license agreement with Xilinx.
8
--
9
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
-- does not warrant that functions included in the Materials will
15
-- meet the requirements of Licensee, or that the operation of the
16
-- Materials will be uninterrupted or error-free, or that defects
17
-- in the Materials will be corrected. Furthermore, Xilinx does
18
-- not warrant or make any representations regarding use, or the
19
-- results of the use, of the Materials in terms of correctness,
20
-- accuracy, reliability or otherwise.
21
--
22
-- Xilinx products are not designed or intended to be fail-safe,
23
-- or for use in any application requiring fail-safe performance,
24
-- such as life-support or safety devices or systems, Class III
25
-- medical devices, nuclear facilities, applications related to
26
-- the deployment of airbags, or any other applications that could
27
-- lead to death, personal injury or severe property or
28
-- environmental damage (individually and collectively, "critical
29
-- applications"). Customer assumes the sole risk and liability
30
-- of any use of Xilinx products in critical applications,
31
-- subject only to applicable laws and regulations governing
32
-- limitations on product liability.
33
--
34
-- Copyright 2005, 2006, 2007, 2008 Xilinx, Inc.
35
-- All rights reserved.
36
--
37
-- This disclaimer and copyright notice must be retained as part
38
-- of this file at all times.
39
--*****************************************************************************
40
--   ____  ____
41
--  /   /\/   /
42
-- /___/  \  /   Vendor             : Xilinx
43
-- \   \   \/    Version            : 3.6.1
44
--  \   \        Application        : MIG
45
--  /   /        Filename           : DDR2_Ram_Core_parameters_0.vhd
46
-- /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
-- \   \  /  \   Date Created       : Mon May 2 2005
48
--  \___\/\___\
49
-- Device      : Spartan-3/3A/3A-DSP
50
-- Design Name : DDR2 SDRAM
51
-- Purpose     : This module has the instantiations s3_dq_iob, s3_dqs_iob and
52
--               s3_dm_iob modules.
53
--*****************************************************************************
54
 
55
library ieee;
56
use ieee.std_logic_1164.all;
57
use ieee.std_logic_unsigned.all;
58
library UNISIM;
59
use UNISIM.VCOMPONENTS.all;
60
use work.DDR2_Ram_Core_parameters_0.all;
61
 
62
entity DDR2_Ram_Core_data_path_iobs_0 is
63
  port(
64
    clk                : in    std_logic;
65
    clk90              : in    std_logic;
66
    dqs_reset          : in    std_logic;
67
    dqs_enable         : in    std_logic;
68
    ddr_dqs            : inout std_logic_vector((DATA_STROBE_WIDTH -1) downto 0);
69
    ddr_dqs_n          : inout std_logic_vector((DATA_STROBE_WIDTH-1) downto 0);
70
    ddr_dq             : inout std_logic_vector((DATA_WIDTH-1) downto 0);
71
    write_data_falling : in    std_logic_vector((DATA_WIDTH-1) downto 0);
72
    write_data_rising  : in    std_logic_vector((DATA_WIDTH-1) downto 0);
73
    write_en_val       : in    std_logic;
74
    data_mask_f        : in std_logic_vector((DATA_MASK_WIDTH-1) downto 0);
75
    data_mask_r        : in std_logic_vector((DATA_MASK_WIDTH-1) downto 0);
76
    dqs_int_delay_in   : out std_logic_vector((DATA_STROBE_WIDTH-1) downto 0);
77
    ddr_dm             : out std_logic_vector((DATA_MASK_WIDTH-1) downto 0);
78
    ddr_dq_val         : out   std_logic_vector((DATA_WIDTH-1) downto 0)
79
    );
80
end DDR2_Ram_Core_data_path_iobs_0;
81
 
82
architecture arc of DDR2_Ram_Core_data_path_iobs_0 is
83
 
84
  component  DDR2_Ram_Core_s3_dqs_iob
85
    port(
86
      clk            : in std_logic;
87
      ddr_dqs_reset  : in std_logic;
88
      ddr_dqs_enable : in std_logic;
89
      ddr_dqs        : inout std_logic;
90
      ddr_dqs_n      : inout std_logic;
91
      dqs            : out std_logic
92
      );
93
  end component;
94
 
95
  component DDR2_Ram_Core_s3_dq_iob
96
    port (
97
      ddr_dq_inout       : inout std_logic;  --Bi-directional SDRAM data bus
98
      write_data_falling : in    std_logic;  --Transmit data, output on falling edge
99
      write_data_rising  : in    std_logic;  --Transmit data, output on rising edge
100
      read_data_in       : out   std_logic;  -- Received data
101
      clk90              : in    std_logic;
102
      write_en_val       : in    std_logic
103
      );
104
  end component;
105
 
106
component   DDR2_Ram_Core_s3_dm_iob
107
port (
108
      ddr_dm       : out std_logic;
109
      mask_falling : in std_logic;
110
      mask_rising  : in std_logic;
111
      clk90        : in std_logic
112
          );
113
end component;
114
 
115
  signal ddr_dq_in  : std_logic_vector((DATA_WIDTH-1) downto 0);
116
 
117
begin
118
 
119
  ddr_dq_val <= ddr_dq_in;
120
 
121
--***********************************************************************
122
-- DM IOB instantiations
123
--***********************************************************************
124
  MASK_INST : if(MASK_ENABLE = 1) generate
125
    begin
126
    gen_dm: for dm_i in 0 to DATA_MASK_WIDTH-1 generate
127
      s3_dm_iob_inst : DDR2_Ram_Core_s3_dm_iob
128
      port map (
129
        ddr_dm       => ddr_dm(dm_i),
130
        mask_falling => data_mask_f(dm_i),
131
        mask_rising  => data_mask_r(dm_i),
132
        clk90        => clk90
133
        );
134
  end generate;
135
  end generate MASK_INST;
136
 
137
--***********************************************************************
138
--    Read Data Capture Module Instantiations
139
--***********************************************************************
140
-- DQS IOB instantiations
141
--***********************************************************************
142
 
143
  gen_dqs: for dqs_i in 0 to DATA_STROBE_WIDTH-1 generate
144
    s3_dqs_iob_inst : DDR2_Ram_Core_s3_dqs_iob
145
      port map (
146
        clk             => clk,
147
        ddr_dqs_reset   => dqs_reset,
148
        ddr_dqs_enable  => dqs_enable,
149
        ddr_dqs         => ddr_dqs(dqs_i),
150
        ddr_dqs_n       => ddr_dqs_n(dqs_i),
151
        dqs             => dqs_int_delay_in(dqs_i)
152
        );
153
  end generate;
154
 
155
 
156
 
157
--******************************************************************************
158
-- DDR Data bit instantiations
159
--******************************************************************************
160
 
161
  gen_dq: for dq_i in 0 to DATA_WIDTH-1 generate
162
    s3_dq_iob_inst : DDR2_Ram_Core_s3_dq_iob
163
      port map (
164
        ddr_dq_inout       => ddr_dq(dq_i),
165
        write_data_falling => write_data_falling(dq_i),
166
        write_data_rising  => write_data_rising(dq_i),
167
        read_data_in       => ddr_dq_in(dq_i),
168
        clk90              => clk90,
169
        write_en_val       => write_en_val
170
        );
171
  end generate;
172
 
173
end arc;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.