OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [ipcore_dir/] [DDR2_Ram_Core/] [user_design/] [rtl/] [DDR2_Ram_Core_infrastructure.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
--*****************************************************************************
2
-- DISCLAIMER OF LIABILITY
3
--
4
-- This file contains proprietary and confidential information of
5
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
-- from Xilinx, and may be used, copied and/or disclosed only
7
-- pursuant to the terms of a valid license agreement with Xilinx.
8
--
9
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
-- does not warrant that functions included in the Materials will
15
-- meet the requirements of Licensee, or that the operation of the
16
-- Materials will be uninterrupted or error-free, or that defects
17
-- in the Materials will be corrected. Furthermore, Xilinx does
18
-- not warrant or make any representations regarding use, or the
19
-- results of the use, of the Materials in terms of correctness,
20
-- accuracy, reliability or otherwise.
21
--
22
-- Xilinx products are not designed or intended to be fail-safe,
23
-- or for use in any application requiring fail-safe performance,
24
-- such as life-support or safety devices or systems, Class III
25
-- medical devices, nuclear facilities, applications related to
26
-- the deployment of airbags, or any other applications that could
27
-- lead to death, personal injury or severe property or
28
-- environmental damage (individually and collectively, "critical
29
-- applications"). Customer assumes the sole risk and liability
30
-- of any use of Xilinx products in critical applications,
31
-- subject only to applicable laws and regulations governing
32
-- limitations on product liability.
33
--
34
-- Copyright 2005, 2006, 2007 Xilinx, Inc.
35
-- All rights reserved.
36
--
37
-- This disclaimer and copyright notice must be retained as part
38
-- of this file at all times.
39
--*****************************************************************************
40
--   ____  ____
41
--  /   /\/   /
42
-- /___/  \  /   Vendor             : Xilinx
43
-- \   \   \/    Version            : 3.6.1
44
--  \   \        Application        : MIG
45
--  /   /        Filename           : DDR2_Ram_Core_infrastructure.vhd
46
-- /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
-- \   \  /  \   Date Created       : Mon May 2 2005
48
--  \___\/\___\
49
-- Device      : Spartan-3/3A/3A-DSP
50
-- Design Name : DDR2 SDRAM
51
-- Purpose     :
52
--*****************************************************************************
53
 
54
library ieee;
55
library UNISIM;
56
use ieee.std_logic_1164.all;
57
use ieee.std_logic_unsigned.all;
58
use UNISIM.VCOMPONENTS.all;
59
 
60
 
61
entity DDR2_Ram_Core_infrastructure is
62
  port(
63
    delay_sel_val1_val : out std_logic_vector(4 downto 0);
64
    delay_sel_val      : in  std_logic_vector(4 downto 0);
65
    rst_calib1         : in  std_logic;
66
    clk_int            : in  std_logic;
67
    -- debug signals
68
    dbg_delay_sel      : out std_logic_vector(4 downto 0);
69
    dbg_rst_calib      : out std_logic
70
    );
71
end DDR2_Ram_Core_infrastructure;
72
 
73
architecture arc of DDR2_Ram_Core_infrastructure is
74
 
75
  signal delay_sel_val1 : std_logic_vector(4 downto 0);
76
  signal rst_calib1_r1  : std_logic;
77
  signal rst_calib1_r2  : std_logic;
78
 
79
begin
80
 
81
  delay_sel_val1_val <= delay_sel_val1;
82
  dbg_delay_sel      <= delay_sel_val1;
83
  dbg_rst_calib      <= rst_calib1_r2;
84
 
85
  process(clk_int)
86
  begin
87
    if clk_int 'event and clk_int = '0' then
88
      rst_calib1_r1    <= rst_calib1;
89
    end if;
90
  end process;
91
 
92
  process(clk_int)
93
  begin
94
    if clk_int 'event and clk_int = '1' then
95
      rst_calib1_r2    <= rst_calib1_r1;
96
    end if;
97
  end process;
98
 
99
  process(clk_int)
100
  begin
101
    if clk_int 'event and clk_int = '1' then
102
      if (rst_calib1_r2 = '0') then
103
        delay_sel_val1 <= delay_sel_val;
104
      else
105
        delay_sel_val1 <= delay_sel_val1;
106
      end if;
107
    end if;
108
  end process;
109
 
110
end arc;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.