OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [ipcore_dir/] [DDR2_Ram_Core/] [user_design/] [rtl/] [DDR2_Ram_Core_infrastructure_iobs_0.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
--*****************************************************************************
2
-- DISCLAIMER OF LIABILITY
3
--
4
-- This file contains proprietary and confidential information of
5
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
-- from Xilinx, and may be used, copied and/or disclosed only
7
-- pursuant to the terms of a valid license agreement with Xilinx.
8
--
9
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
-- does not warrant that functions included in the Materials will
15
-- meet the requirements of Licensee, or that the operation of the
16
-- Materials will be uninterrupted or error-free, or that defects
17
-- in the Materials will be corrected. Furthermore, Xilinx does
18
-- not warrant or make any representations regarding use, or the
19
-- results of the use, of the Materials in terms of correctness,
20
-- accuracy, reliability or otherwise.
21
--
22
-- Xilinx products are not designed or intended to be fail-safe,
23
-- or for use in any application requiring fail-safe performance,
24
-- such as life-support or safety devices or systems, Class III
25
-- medical devices, nuclear facilities, applications related to
26
-- the deployment of airbags, or any other applications that could
27
-- lead to death, personal injury or severe property or
28
-- environmental damage (individually and collectively, "critical
29
-- applications"). Customer assumes the sole risk and liability
30
-- of any use of Xilinx products in critical applications,
31
-- subject only to applicable laws and regulations governing
32
-- limitations on product liability.
33
--
34
-- Copyright 2005, 2006, 2007 Xilinx, Inc.
35
-- All rights reserved.
36
--
37
-- This disclaimer and copyright notice must be retained as part
38
-- of this file at all times.
39
--*****************************************************************************
40
--   ____  ____
41
--  /   /\/   /
42
-- /___/  \  /   Vendor             : Xilinx
43
-- \   \   \/    Version            : 3.6.1
44
--  \   \        Application        : MIG
45
--  /   /        Filename           : DDR2_Ram_Core_infrastructure_iobs_0.vhd
46
-- /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
-- \   \  /  \   Date Created       : Mon May 2 2005
48
--  \___\/\___\
49
-- Device      : Spartan-3/3A/3A-DSP
50
-- Design Name : DDR2 SDRAM
51
-- Purpose     : This module has the FDDRRSE instantiations to the clocks.
52
--*****************************************************************************
53
 
54
library ieee;
55
library UNISIM;
56
use ieee.std_logic_1164.all;
57
use ieee.std_logic_unsigned.all;
58
use UNISIM.VCOMPONENTS.all;
59
use work.DDR2_Ram_Core_parameters_0.all;
60
 
61
entity DDR2_Ram_Core_infrastructure_iobs_0 is
62
  port(
63
    ddr2_ck   : out  std_logic_vector((CLK_WIDTH-1)  downto 0);
64
    ddr2_ck_n : out  std_logic_vector((CLK_WIDTH-1)  downto 0);
65
    clk0      : in std_logic
66
    );
67
end DDR2_Ram_Core_infrastructure_iobs_0;
68
 
69
architecture arc of DDR2_Ram_Core_infrastructure_iobs_0 is
70
 
71
    signal ddr2_clk_q     : std_logic;
72
  signal vcc    : std_logic;
73
  signal gnd    : std_logic;
74
  signal clk180 : std_logic;
75
 
76
---- **************************************************
77
---- iob attributes for instantiated FDDRRSE components
78
---- **************************************************
79
begin
80
 
81
  gnd    <= '0';
82
  vcc    <= '1';
83
  clk180 <= not clk0;
84
 
85
--- ***********************************
86
---- This includes instantiation of the output DDR flip flop
87
---- for ddr clk's and dimm clk's
88
---- ***********************************************************
89
 
90
 
91
 
92
 
93
 U_clk_i : FDDRRSE
94
  port map (
95
    Q => ddr2_clk_q,
96
    C0 => clk0,
97
    C1 => clk180,
98
    CE => vcc,
99
    D0 => vcc,
100
    D1 => gnd,
101
    R => gnd,
102
    S => gnd
103
    );
104
 
105
 
106
 
107
 
108
---- ******************************************
109
---- Ouput BUffers for ddr clk's and dimm clk's
110
---- ******************************************
111
 
112
 
113
 
114
 
115
r_inst : OBUFDS
116
          port map (
117
        I  => ddr2_clk_q,
118
        O  => ddr2_ck(0),
119
        OB => ddr2_ck_n(0)
120
                );
121
 
122
 
123
 
124
 
125
end arc;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.