OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [ipcore_dir/] [DDR2_Ram_Core/] [user_design/] [rtl/] [DDR2_Ram_Core_ram8d_0.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
--*****************************************************************************
2
-- DISCLAIMER OF LIABILITY
3
--
4
-- This file contains proprietary and confidential information of
5
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
-- from Xilinx, and may be used, copied and/or disclosed only
7
-- pursuant to the terms of a valid license agreement with Xilinx.
8
--
9
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
-- does not warrant that functions included in the Materials will
15
-- meet the requirements of Licensee, or that the operation of the
16
-- Materials will be uninterrupted or error-free, or that defects
17
-- in the Materials will be corrected. Furthermore, Xilinx does
18
-- not warrant or make any representations regarding use, or the
19
-- results of the use, of the Materials in terms of correctness,
20
-- accuracy, reliability or otherwise.
21
--
22
-- Xilinx products are not designed or intended to be fail-safe,
23
-- or for use in any application requiring fail-safe performance,
24
-- such as life-support or safety devices or systems, Class III
25
-- medical devices, nuclear facilities, applications related to
26
-- the deployment of airbags, or any other applications that could
27
-- lead to death, personal injury or severe property or
28
-- environmental damage (individually and collectively, "critical
29
-- applications"). Customer assumes the sole risk and liability
30
-- of any use of Xilinx products in critical applications,
31
-- subject only to applicable laws and regulations governing
32
-- limitations on product liability.
33
--
34
-- Copyright 2005, 2006, 2007 Xilinx, Inc.
35
-- All rights reserved.
36
--
37
-- This disclaimer and copyright notice must be retained as part
38
-- of this file at all times.
39
--*****************************************************************************
40
--   ____  ____
41
--  /   /\/   /
42
-- /___/  \  /   Vendor             : Xilinx
43
-- \   \   \/    Version            : 3.6.1
44
--  \   \        Application        : MIG
45
--  /   /        Filename           : DDR2_Ram_Core_ram8d_0.vhd
46
-- /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
-- \   \  /  \   Date Created       : Mon May 2 2005
48
--  \___\/\___\
49
-- Device      : Spartan-3/3A/3A-DSP
50
-- Design Name : DDR2 SDRAM
51
-- Purpose     : This module instantiates RAM16X1 premitives. There will be 8 or 4 RAM16X1
52
--               instances depending on the number of data bits per strobe.
53
--*****************************************************************************
54
 
55
library IEEE;
56
library UNISIM;
57
use IEEE.STD_LOGIC_1164.all;
58
use IEEE.STD_LOGIC_ARITH.all;
59
use IEEE.STD_LOGIC_UNSIGNED.all;
60
use UNISIM.VCOMPONENTS.all;
61
use work.DDR2_Ram_Core_parameters_0.all;
62
 
63
entity DDR2_Ram_Core_ram8d_0 is
64
  port (
65
    dout  : out std_logic_vector((DATABITSPERSTROBE -1) downto 0);
66
    waddr : in  std_logic_vector(3 downto 0);
67
    din   : in  std_logic_vector((DATABITSPERSTROBE -1) downto 0);
68
    raddr : in  std_logic_vector(3 downto 0);
69
    wclk0 : in  std_logic;
70
    wclk1 : in  std_logic;
71
    we    : in  std_logic
72
    );
73
end DDR2_Ram_Core_ram8d_0;
74
 
75
architecture arc of DDR2_Ram_Core_ram8d_0 is
76
 
77
begin
78
 
79
  fifo_bit0 : RAM16X1D
80
    port map (
81
          DPO    => dout(0),
82
      A0     => waddr(0),
83
      A1     => waddr(1),
84
      A2     => waddr(2),
85
      A3     => waddr(3),
86
      D      => din(0),
87
      DPRA0  => raddr(0),
88
      DPRA1  => raddr(1),
89
      DPRA2  => raddr(2),
90
      DPRA3  => raddr(3),
91
      WCLK   => wclk1,
92
      SPO    => OPEN,
93
      WE     => we
94
          );
95
 
96
  fifo_bit1 : RAM16X1D
97
    port map (
98
          DPO    => dout(1),
99
      A0     => waddr(0),
100
      A1     => waddr(1),
101
      A2     => waddr(2),
102
      A3     => waddr(3),
103
      D      => din(1),
104
      DPRA0  => raddr(0),
105
      DPRA1  => raddr(1),
106
      DPRA2  => raddr(2),
107
      DPRA3  => raddr(3),
108
      WCLK   => wclk0,
109
      SPO    => OPEN,
110
      WE     => we
111
          );
112
 
113
  fifo_bit2 : RAM16X1D
114
    port map (
115
          DPO    => dout(2),
116
      A0     => waddr(0),
117
      A1     => waddr(1),
118
      A2     => waddr(2),
119
      A3     => waddr(3),
120
      D      => din(2),
121
      DPRA0  => raddr(0),
122
      DPRA1  => raddr(1),
123
      DPRA2  => raddr(2),
124
      DPRA3  => raddr(3),
125
      WCLK   => wclk1,
126
      SPO    => OPEN,
127
      WE     => we
128
          );
129
 
130
  fifo_bit3 : RAM16X1D
131
    port map (
132
          DPO    => dout(3),
133
      A0     => waddr(0),
134
      A1     => waddr(1),
135
      A2     => waddr(2),
136
      A3     => waddr(3),
137
      D      => din(3),
138
      DPRA0  => raddr(0),
139
      DPRA1  => raddr(1),
140
      DPRA2  => raddr(2),
141
      DPRA3  => raddr(3),
142
      WCLK   => wclk0,
143
      SPO    => OPEN,
144
      WE     => we
145
          );
146
 
147
fifo_bit4 : RAM16X1D
148
    port map (
149
          DPO    => dout(4),
150
      A0     => waddr(0),
151
      A1     => waddr(1),
152
      A2     => waddr(2),
153
      A3     => waddr(3),
154
      D      => din(4),
155
      DPRA0  => raddr(0),
156
      DPRA1  => raddr(1),
157
      DPRA2  => raddr(2),
158
      DPRA3  => raddr(3),
159
      WCLK   => wclk1,
160
      SPO    => OPEN,
161
      WE     => we
162
          );
163
 
164
  fifo_bit5 : RAM16X1D
165
    port map (
166
          DPO    => dout(5),
167
      A0     => waddr(0),
168
      A1     => waddr(1),
169
      A2     => waddr(2),
170
      A3     => waddr(3),
171
      D      => din(5),
172
      DPRA0  => raddr(0),
173
      DPRA1  => raddr(1),
174
      DPRA2  => raddr(2),
175
      DPRA3  => raddr(3),
176
      WCLK   => wclk0,
177
      SPO    => OPEN,
178
      WE     => we
179
          );
180
 
181
  fifo_bit6 : RAM16X1D
182
    port map (
183
          DPO    => dout(6),
184
      A0     => waddr(0),
185
      A1     => waddr(1),
186
      A2     => waddr(2),
187
      A3     => waddr(3),
188
      D      => din(6),
189
      DPRA0  => raddr(0),
190
      DPRA1  => raddr(1),
191
      DPRA2  => raddr(2),
192
      DPRA3  => raddr(3),
193
      WCLK   => wclk1,
194
      SPO    => OPEN,
195
      WE     => we
196
          );
197
 
198
  fifo_bit7 : RAM16X1D
199
    port map (
200
          DPO    => dout(7),
201
      A0     => waddr(0),
202
      A1     => waddr(1),
203
      A2     => waddr(2),
204
      A3     => waddr(3),
205
      D      => din(7),
206
      DPRA0  => raddr(0),
207
      DPRA1  => raddr(1),
208
      DPRA2  => raddr(2),
209
      DPRA3  => raddr(3),
210
      WCLK   => wclk0,
211
      SPO    => OPEN,
212
      WE     => we
213
          );
214
 
215
end arc;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.