OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [ipcore_dir/] [DDR2_Ram_Core/] [user_design/] [rtl/] [DDR2_Ram_Core_s3_dqs_iob.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 john_fpga
--*****************************************************************************
2
-- DISCLAIMER OF LIABILITY
3
--
4
-- This file contains proprietary and confidential information of
5
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
-- from Xilinx, and may be used, copied and/or disclosed only
7
-- pursuant to the terms of a valid license agreement with Xilinx.
8
--
9
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
-- does not warrant that functions included in the Materials will
15
-- meet the requirements of Licensee, or that the operation of the
16
-- Materials will be uninterrupted or error-free, or that defects
17
-- in the Materials will be corrected. Furthermore, Xilinx does
18
-- not warrant or make any representations regarding use, or the
19
-- results of the use, of the Materials in terms of correctness,
20
-- accuracy, reliability or otherwise.
21
--
22
-- Xilinx products are not designed or intended to be fail-safe,
23
-- or for use in any application requiring fail-safe performance,
24
-- such as life-support or safety devices or systems, Class III
25
-- medical devices, nuclear facilities, applications related to
26
-- the deployment of airbags, or any other applications that could
27
-- lead to death, personal injury or severe property or
28
-- environmental damage (individually and collectively, "critical
29
-- applications"). Customer assumes the sole risk and liability
30
-- of any use of Xilinx products in critical applications,
31
-- subject only to applicable laws and regulations governing
32
-- limitations on product liability.
33
--
34
-- Copyright 2005, 2006, 2007, 2008 Xilinx, Inc.
35
-- All rights reserved.
36
--
37
-- This disclaimer and copyright notice must be retained as part
38
-- of this file at all times.
39
--*****************************************************************************
40
--   ____  ____
41
--  /   /\/   /
42
-- /___/  \  /   Vendor             : Xilinx
43
-- \   \   \/    Version            : 3.6.1
44
--  \   \        Application        : MIG
45
--  /   /        Filename           : DDR2_Ram_Core_s3_dqs_iob.vhd
46
-- /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
-- \   \  /  \   Date Created       : Mon May 2 2005
48
--  \___\/\___\
49
-- Device      : Spartan-3/3A/3A-DSP
50
-- Design Name : DDR2 SDRAM
51
-- Purpose     : This module instantiates DDR IOB output flip-flops, an
52
--               output buffer with registered tri-state, and an input buffer
53
--               for a single strobe/dqs bit. The DDR IOB output flip-flops
54
--               are used to forward strobe to memory during a write. During
55
--               a read, the output of the IBUF is routed to the internal
56
--               delay module, dqs_delay.
57
--*****************************************************************************
58
 
59
library ieee;
60
use ieee.std_logic_1164.all;
61
library UNISIM;
62
use UNISIM.VCOMPONENTS.all;
63
 
64
entity DDR2_Ram_Core_s3_dqs_iob is
65
  port(
66
    clk            : in    std_logic;
67
    ddr_dqs_reset  : in    std_logic;
68
    ddr_dqs_enable : in    std_logic;
69
    ddr_dqs        : inout std_logic;
70
    ddr_dqs_n          : inout std_logic;
71
    dqs            : out   std_logic);
72
end DDR2_Ram_Core_s3_dqs_iob;
73
 
74
architecture arc of DDR2_Ram_Core_s3_dqs_iob is
75
 
76
 
77
  signal dqs_q            : std_logic;
78
  signal ddr_dqs_enable1  : std_logic;
79
  signal vcc              : std_logic;
80
  signal gnd              : std_logic;
81
  signal ddr_dqs_enable_b : std_logic;
82
  signal data1            : std_logic;
83
  signal clk180           : std_logic;
84
 
85
  attribute IOB               : string;
86
  attribute syn_useioff       : boolean;
87
 
88
  attribute IOB of U1         : label is "FORCE";
89
  attribute syn_useioff of U1 : label is true;
90
 
91
begin
92
 
93
--******************************************************************************
94
-- Output DDR generation. This includes instantiation of the output DDR flip flop.
95
-- Additionally, to keep synthesis tools from register sharing, manually
96
-- instantiate the output tri-state flip-flop.
97
--******************************************************************************
98
  vcc              <= '1';
99
  gnd              <= '0';
100
  clk180           <= not clk;
101
  ddr_dqs_enable_b <= not ddr_dqs_enable;
102
  data1            <= '0' when ddr_dqs_reset = '1' else
103
                      '1';
104
 
105
  U1 : FD
106
    port map (
107
      D => ddr_dqs_enable_b,
108
      Q => ddr_dqs_enable1,
109
      C => clk
110
      );
111
 
112
 
113
  U2 : FDDRRSE
114
    port map (
115
      Q    => dqs_q,
116
      C0 => clk,
117
      C1 => clk180,
118
      CE => vcc,
119
      D0 => data1,
120
      D1 => gnd,
121
      R  => gnd,
122
      S  => gnd
123
      );
124
 
125
 
126
 
127
--***********************************************************************
128
-- IO buffer for dqs signal. Allows for distribution of dqs
129
-- to the data (DQ) loads.
130
--***********************************************************************
131
 
132
 
133
    U3 : OBUFTDS port map (
134
            I  => dqs_q,
135
            T  => ddr_dqs_enable1,
136
            O  => ddr_dqs,
137
            OB => ddr_dqs_n
138
            );
139
 
140
     U4 : IBUFDS  port map(
141
                   I  => ddr_dqs,
142
                   IB => ddr_dqs_n,
143
                   O  => dqs
144
                   );
145
 
146
 
147
 
148
end arc;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.