OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [rtl/] [readme.txt] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jdoin
DEBOUNCER_VHDL
2
==============
3
 
4
 
5
This is a very simple switch debouncer written in VHDL.
6
It is a pipelined, fully static design, and handles a group of signals with common debouncing.
7
 
8
The switch grouping in a std_logic_vector() has 2 main advantages:
9
 
10
-> saves silicon space, by having a common counter;
11
-> guarantees that a given switch set will not show asynchronous state changes relative to each other inside the debouncing time window;
12
 
13
The debouncer has a very simple interface, and is straightforward to use. No vendor-specific syntax or code is used in this design.
14
 
15
 
16
VHDL files for spi master/slave project:
17
---------------------------------------
18
 
19
grp_debouncer.vhd       switch debouncer model
20
grp_debouncer_test.vhd  testbench for simulating the switch debouncer model
21
 
22
 
23
The original development is done in Xilinx ISE 13.1, targeted to a Spartan-6 device.
24
 
25
ISIM SIMULATION
26
---------------
27
 
28
VHDL simulation was done in ISIM, after Place & Route, with default constraints, for the slowest Spartan-6 device.
29
 
30
 
31
SILICON VERIFICATION
32
--------------------
33
 
34
Design verification in silicon was done in a Digilent Atlys board, and the verification project can be found at the  \trunk\syn directory, with all the required files to replicate the verification tests, including pinlock constraints for the Atlys board.
35
 
36
 
37
If you have any questions or usage issues with this core, please open a thread in OpenCores forum, and I will be pleased to answer.
38
 
39
If you find a bug or a design fault in the models, or if you have an issue that you like to be addressed, please open a bug/issue in the OpenCores bugtracker for this project, at
40
                http://opencores.org/project,debouncer_vhdl,bugtracker.
41
 
42
If you use this module, please drop some feedback at jdoin@opencores.org
43
 
44
In any case, thank you for testing and using this core.
45
 
46
 
47
Jonny Doin
48
jdoin@opencores.org
49
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.