OpenCores
URL https://opencores.org/ocsvn/deslcore/deslcore/trunk

Subversion Repositories deslcore

[/] [deslcore/] [trunk/] [rtl/] [key_schedule.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    19:18:16 02/20/2013 
6
-- Design Name: 
7
-- Module Name:    key_schedule - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity key_schedule is
33
        port(clk : in std_logic;
34
                  rst : in std_logic;
35
                  mode : in std_logic; -- 0 encrypt, 1 decrypt
36
             key : in std_logic_vector(55 downto 0);
37
                  key_out : out std_logic_vector(47 downto 0));
38
end key_schedule;
39
 
40
architecture Behavioral of key_schedule is
41
        signal init_key_s : std_logic_vector(55 downto 0);
42
        signal c_0_s : std_logic_vector(27 downto 0);
43
        signal d_0_s : std_logic_vector(27 downto 0);
44
 
45
        signal shift_s : std_logic_vector(15 downto 0);
46
        signal key_pre_s : std_logic_vector(55 downto 0);
47
        signal key_pre_delay_s : std_logic_vector(55 downto 0);
48
 
49
begin
50
 
51
        pr_seq: process(clk, rst, key, shift_s(15), mode)
52
        begin
53
                if rst = '1' then
54
                        c_0_s <=  key(55 downto 28);
55
                        d_0_s <=  key(27 downto 0);
56
                elsif rising_edge(clk) then
57
                        if shift_s(15) = '0' then
58
                                if mode = '0' then
59
                                        c_0_s <= c_0_s(26 downto 0) & c_0_s(27);
60
                                        d_0_s <= d_0_s(26 downto 0) & d_0_s(27);
61
                                else
62
                                        c_0_s <= c_0_s(0) & c_0_s(27 downto 1);
63
                                        d_0_s <= d_0_s(0) & d_0_s(27 downto 1);
64
                                end if;
65
                        else
66
                                if mode = '0' then
67
                                        c_0_s <= c_0_s(25 downto 0) & c_0_s(27 downto 26);
68
                                        d_0_s <= d_0_s(25 downto 0) & d_0_s(27 downto 26);
69
                                else
70
                                        c_0_s <= c_0_s(1 downto 0) & c_0_s(27 downto 2);
71
                                        d_0_s <= d_0_s(1 downto 0) & d_0_s(27 downto 2);
72
                                end if;
73
                        end if;
74
                end if;
75
        end process;
76
 
77
        pr_shr: process(clk, rst, mode)
78
        begin
79
                if rst = '1' then
80
                        if mode = '0' then
81
                                shift_s <= "0011111101111110";
82
                        else
83
                                shift_s <= "0111111011111100";
84
                        end if;
85
                elsif rising_edge(clk) then
86
                        shift_s <= shift_s(14 downto 0) & shift_s(15);
87
                end if;
88
        end process;
89
 
90
        -- XXX Podemos meter aqui un FF para retrasar la salida n ciclos.
91
 
92
        key_pre_s <= c_0_s & d_0_s;
93
 
94
        pr_delay: process(clk, mode, key_pre_s)
95
        begin
96
                if rising_edge(clk) then
97
                        if mode = '1' then
98
                                key_pre_delay_s <= key_pre_s;
99
                        end if;
100
                end if;
101
        end process;
102
 
103
 
104
        key_out <= (key_pre_s (42)  & key_pre_s (39) & key_pre_s (45) & key_pre_s (32) & key_pre_s (55) & key_pre_s (51) & key_pre_s (53) & key_pre_s (28) &
105
                                  key_pre_s (41) & key_pre_s (50)  & key_pre_s (35) & key_pre_s (46) & key_pre_s (33) & key_pre_s (37) & key_pre_s (44) & key_pre_s (52) &
106
                                  key_pre_s (30) & key_pre_s (48) & key_pre_s (40)  & key_pre_s (49) & key_pre_s (29) & key_pre_s (36) & key_pre_s (43) & key_pre_s (54) &
107
                                  key_pre_s (15)  & key_pre_s (4) & key_pre_s (25) & key_pre_s (19) & key_pre_s (9) & key_pre_s (1) & key_pre_s (26) & key_pre_s (16) &
108
                                  key_pre_s (5) & key_pre_s (11)  & key_pre_s (23) & key_pre_s (8) & key_pre_s (12) & key_pre_s (7) & key_pre_s (17) & key_pre_s (0) &
109
                                  key_pre_s (22) & key_pre_s (3) & key_pre_s (10)  & key_pre_s (14) & key_pre_s (6) & key_pre_s (20) & key_pre_s (27) & key_pre_s (24))
110
                                  when mode = '0' else
111
                                  (key_pre_delay_s (42)  & key_pre_delay_s (39) & key_pre_delay_s (45) & key_pre_delay_s (32) & key_pre_delay_s (55) & key_pre_delay_s (51) & key_pre_delay_s (53) & key_pre_delay_s (28) &
112
                                  key_pre_delay_s (41) & key_pre_delay_s (50)  & key_pre_delay_s (35) & key_pre_delay_s (46) & key_pre_delay_s (33) & key_pre_delay_s (37) & key_pre_delay_s (44) & key_pre_delay_s (52) &
113
                                  key_pre_delay_s (30) & key_pre_delay_s (48) & key_pre_delay_s (40)  & key_pre_delay_s (49) & key_pre_delay_s (29) & key_pre_delay_s (36) & key_pre_delay_s (43) & key_pre_delay_s (54) &
114
                                  key_pre_delay_s (15)  & key_pre_delay_s (4) & key_pre_delay_s (25) & key_pre_delay_s (19) & key_pre_delay_s (9) & key_pre_delay_s (1) & key_pre_delay_s (26) & key_pre_delay_s (16) &
115
                                  key_pre_delay_s (5) & key_pre_delay_s (11)  & key_pre_delay_s (23) & key_pre_delay_s (8) & key_pre_delay_s (12) & key_pre_delay_s (7) & key_pre_delay_s (17) & key_pre_delay_s (0) &
116
                                  key_pre_delay_s (22) & key_pre_delay_s (3) & key_pre_delay_s (10)  & key_pre_delay_s (14) & key_pre_delay_s (6) & key_pre_delay_s (20) & key_pre_delay_s (27) & key_pre_delay_s (24));
117
 
118
end Behavioral;
119
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.