OpenCores
URL https://opencores.org/ocsvn/desxcore/desxcore/trunk

Subversion Repositories desxcore

[/] [desxcore/] [trunk/] [rtl/] [des_round.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 entactogen
 
2
-- Copyright (c) 2013 Antonio de la Piedra
3
 
4
-- This program is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
 
9
-- This program is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
16
 
17
 
18 2 entactogen
library IEEE;
19
use IEEE.STD_LOGIC_1164.ALL;
20
 
21
entity des_round is
22
        port(clk : in std_logic;
23
                  l_0 : in std_logic_vector(31 downto 0);
24
                  r_0 : in std_logic_vector(31 downto 0);
25
                  k_i : in std_logic_vector(47 downto 0);
26
                  l_1 : out std_logic_vector(31 downto 0);
27
                  r_1 : out std_logic_vector(31 downto 0));
28
end des_round;
29
 
30
architecture Behavioral of des_round is
31
 
32
        component f_fun is
33
                port(clk : in std_logic;
34
                          r_in : in std_logic_vector(31 downto 0);
35
                          k_in : in std_logic_vector(47 downto 0);
36
                          r_out : out std_logic_vector(31 downto 0));
37
        end component;
38
 
39
        component dsp_xor is
40
                port (clk     : in std_logic;
41
                                op_1      : in std_logic_vector(31 downto 0);
42
                                op_2      : in std_logic_vector(31 downto 0);
43
                                op_3      : out std_logic_vector(31 downto 0));
44
        end component;
45
 
46
        signal f_out_s : std_logic_vector(31 downto 0);
47
 
48
begin
49
 
50
        F_FUN_0 : f_fun port map (clk, r_0, k_i, f_out_s);
51
 
52
        l_1 <= r_0;
53
        r_1 <= l_0 xor f_out_s;
54
 
55
end Behavioral;
56
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.