OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [SRC/] [registre.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 leoel
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file registre.vhd when simulating
30
-- the core, registre. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY registre IS
44
        port (
45
        clka: IN std_logic;
46
        dina: IN std_logic_VECTOR(0 downto 0);
47
        addra: IN std_logic_VECTOR(3 downto 0);
48
        wea: IN std_logic_VECTOR(0 downto 0);
49
        ssra: IN std_logic;
50
        douta: OUT std_logic_VECTOR(0 downto 0));
51
END registre;
52
 
53
ARCHITECTURE registre_a OF registre IS
54
-- synthesis translate_off
55
component wrapped_registre
56
        port (
57
        clka: IN std_logic;
58
        dina: IN std_logic_VECTOR(0 downto 0);
59
        addra: IN std_logic_VECTOR(3 downto 0);
60
        wea: IN std_logic_VECTOR(0 downto 0);
61
        ssra: IN std_logic;
62
        douta: OUT std_logic_VECTOR(0 downto 0));
63
end component;
64
 
65
-- Configuration specification 
66
        for all : wrapped_registre use entity XilinxCoreLib.blk_mem_gen_v2_8(behavioral)
67
                generic map(
68
                        c_has_regceb => 0,
69
                        c_has_regcea => 0,
70
                        c_mem_type => 0,
71
                        c_prim_type => 1,
72
                        c_sinita_val => "0",
73
                        c_read_width_b => 1,
74
                        c_family => "spartan3",
75
                        c_read_width_a => 1,
76
                        c_disable_warn_bhv_coll => 0,
77
                        c_write_mode_b => "WRITE_FIRST",
78
                        c_init_file_name => "no_coe_file_loaded",
79
                        c_write_mode_a => "READ_FIRST",
80
                        c_mux_pipeline_stages => 0,
81
                        c_has_mem_output_regs_b => 0,
82
                        c_load_init_file => 0,
83
                        c_xdevicefamily => "spartan3",
84
                        c_has_mem_output_regs_a => 0,
85
                        c_write_depth_b => 16,
86
                        c_write_depth_a => 16,
87
                        c_has_ssrb => 0,
88
                        c_has_mux_output_regs_b => 0,
89
                        c_has_ssra => 1,
90
                        c_has_mux_output_regs_a => 0,
91
                        c_addra_width => 4,
92
                        c_addrb_width => 4,
93
                        c_default_data => "0",
94
                        c_use_ecc => 0,
95
                        c_algorithm => 1,
96
                        c_disable_warn_bhv_range => 0,
97
                        c_write_width_b => 1,
98
                        c_write_width_a => 1,
99
                        c_read_depth_b => 16,
100
                        c_read_depth_a => 16,
101
                        c_byte_size => 9,
102
                        c_sim_collision_check => "ALL",
103
                        c_use_ramb16bwer_rst_bhv => 0,
104
                        c_common_clk => 0,
105
                        c_wea_width => 1,
106
                        c_has_enb => 0,
107
                        c_web_width => 1,
108
                        c_has_ena => 0,
109
                        c_sinitb_val => "0",
110
                        c_use_byte_web => 0,
111
                        c_use_byte_wea => 0,
112
                        c_use_default_data => 0);
113
-- synthesis translate_on
114
BEGIN
115
-- synthesis translate_off
116
U0 : wrapped_registre
117
                port map (
118
                        clka => clka,
119
                        dina => dina,
120
                        addra => addra,
121
                        wea => wea,
122
                        ssra => ssra,
123
                        douta => douta);
124
-- synthesis translate_on
125
 
126
END registre_a;
127
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.