OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [fpmult.sdc] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 aloy.amber
## Generated SDC file "fpmult.sdc"
2
 
3
## Copyright (C) 1991-2010 Altera Corporation
4
## Your use of Altera Corporation's design tools, logic functions
5
## and other software and tools, and its AMPP partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Altera Program License
10
## Subscription Agreement, Altera MegaCore Function License
11
## Agreement, or other applicable license agreement, including,
12
## without limitation, that your use is for the sole purpose of
13
## programming logic devices manufactured by Altera and sold by
14
## Altera or its authorized distributors.  Please refer to the
15
## applicable agreement for further details.
16
 
17
 
18
## VENDOR  "Altera"
19
## PROGRAM "Quartus II"
20
## VERSION "Version 10.1 Build 153 11/29/2010 SJ Web Edition"
21
 
22
## DATE    "Sun Jan 30 18:51:15 2011"
23
 
24
##
25
## DEVICE  "EP2C20F484C7"
26
##
27
 
28
 
29
#**************************************************************
30
# Time Information
31
#**************************************************************
32
 
33
set_time_format -unit ns -decimal_places 3
34
 
35
 
36
 
37
#**************************************************************
38
# Create Clock
39
#**************************************************************
40
 
41
create_clock -name {altera_reserved_tck} -period 20.000 -waveform { 0.000 10.000 } [get_ports { altera_reserved_tck }]
42
 
43
 
44
#**************************************************************
45
# Create Generated Clock
46
#**************************************************************
47
 
48
 
49
 
50
#**************************************************************
51
# Set Clock Latency
52
#**************************************************************
53
 
54
 
55
 
56
#**************************************************************
57
# Set Clock Uncertainty
58
#**************************************************************
59
 
60
 
61
 
62
#**************************************************************
63
# Set Input Delay
64
#**************************************************************
65
 
66
 
67
 
68
#**************************************************************
69
# Set Output Delay
70
#**************************************************************
71
 
72
 
73
 
74
#**************************************************************
75
# Set Clock Groups
76
#**************************************************************
77
 
78
set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]
79
 
80
 
81
#**************************************************************
82
# Set False Path
83
#**************************************************************
84
 
85
 
86
 
87
#**************************************************************
88
# Set Multicycle Path
89
#**************************************************************
90
 
91
 
92
 
93
#**************************************************************
94
# Set Maximum Delay
95
#**************************************************************
96
 
97
 
98
 
99
#**************************************************************
100
# Set Minimum Delay
101
#**************************************************************
102
 
103
 
104
 
105
#**************************************************************
106
# Set Input Transition
107
#**************************************************************
108
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.