OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fp_generic.vhdl] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4 4 aloy.amber
 
5 6 aloy.amber
package fp_generic is
6
 
7
subtype fp_type is std_logic_vector(31 downto 0);
8
subtype fp_sign_type is std_logic;
9
subtype fp_exp_type is unsigned(7 downto 0);
10
subtype fp_mantissa_type is unsigned(23 downto 0);
11
subtype fp_long_mantissa_type is unsigned(47 downto 0);
12
 
13
subtype fp_error_type is std_logic_vector(5 downto 0);
14
constant FP_ERR_INVALID:fp_error_type:="000001";
15
constant FP_ERR_DIVBYZERO:fp_error_type:="000100";
16
constant FP_ERR_OVERFLOW:fp_error_type:="001000";
17
constant FP_ERR_UNDERFLOW:fp_error_type:="010000";
18
constant FP_ERR_INEXACT:fp_error_type:="100000";
19
 
20
function fp_sign(fp:fp_type) return fp_sign_type;
21
function fp_exp(fp:fp_type) return fp_exp_type;
22
function fp_mantissa(fp:fp_type) return fp_mantissa_type;
23
 
24
function fp_is_normal(fp:fp_type) return boolean;
25
function fp_is_zero(fp:fp_type) return boolean;
26
function fp_is_subnormal(fp:fp_type) return boolean;
27
function fp_is_infinite(fp:fp_type) return boolean;
28
function fp_is_nan(fp:fp_type) return boolean;
29
function fp_is_signalling(fp:fp_type) return boolean;
30
function fp_is_quiet(fp:fp_type) return boolean;
31 8 aloy.amber
function fp_is_positive(fp:fp_type) return boolean;
32
function fp_is_negative(fp:fp_type) return boolean;
33 6 aloy.amber
 
34
end package;
35
 
36
package body fp_generic is
37
 
38
function fp_sign(fp:fp_type) return fp_sign_type is
39
begin
40
        return fp(31);
41
end function fp_sign;
42
 
43
function fp_exp(fp:fp_type) return fp_exp_type is
44
begin
45
  return(resize((unsigned(fp) srl 23),8));
46
end function fp_exp;
47
 
48
function fp_mantissa(fp:fp_type) return fp_mantissa_type is
49
begin
50
        return(fp_mantissa_type("1"&fp(22 downto 0)));   -- Prepend implied '1' bit of IEEE-754 mantissa in order to return a 24 bit entity
51
end function fp_mantissa;
52
 
53
function fp_exp_is_min(exp:fp_exp_type) return boolean is
54
begin
55
  return (exp=0);
56
end function fp_exp_is_min;
57
 
58
function fp_exp_is_max(exp:fp_exp_type) return boolean is
59
begin
60
  return (exp=255);
61
end function fp_exp_is_max;
62
 
63
function fp_is_normal(fp:fp_type) return boolean is
64
        variable exp:fp_exp_type;
65
begin
66
        exp:=fp_exp(fp);
67
 
68
  return not fp_exp_is_min(exp) and not fp_exp_is_max(exp);
69
end function fp_is_normal;
70
 
71
function fp_is_zero(fp:fp_type) return boolean is
72
begin
73 8 aloy.amber
        return (fp_exp(fp)=0) and (fp_mantissa(fp)(fp_mantissa_type'high-1 downto 0)=0);
74 6 aloy.amber
end function fp_is_zero;
75
 
76
function fp_is_subnormal(fp:fp_type) return boolean is
77
begin
78 8 aloy.amber
        return (fp_exp(fp)=0) and (fp_mantissa(fp)(fp_mantissa_type'high-1 downto 0)/=0);
79 6 aloy.amber
end function fp_is_subnormal;
80
 
81
function fp_is_infinite(fp:fp_type) return boolean is
82
begin
83 8 aloy.amber
        return (fp_exp_is_max(fp_exp(fp))) and (fp_mantissa(fp)(fp_mantissa_type'high-1 downto 0)=0);
84 6 aloy.amber
end function fp_is_infinite;
85
 
86
function fp_is_nan(fp:fp_type) return boolean is
87
begin
88 8 aloy.amber
        return (fp_exp_is_max(fp_exp(fp))) and (fp_mantissa(fp)(fp_mantissa_type'high-1 downto 0)/=0);
89 6 aloy.amber
end function fp_is_nan;
90
 
91
function fp_is_signalling(fp:fp_type) return boolean is
92
begin
93 8 aloy.amber
        return fp_is_nan(fp) and fp_mantissa(fp)(fp_mantissa_type'high-1)='0';
94 6 aloy.amber
end function fp_is_signalling;
95
 
96
function fp_is_quiet(fp:fp_type) return boolean is
97
begin
98 8 aloy.amber
        return fp_is_nan(fp) and fp_mantissa(fp)(fp_mantissa_type'high-1)='1';
99 6 aloy.amber
end function fp_is_quiet;
100
 
101 8 aloy.amber
function fp_is_positive(fp:fp_type) return boolean is
102
begin
103
  return (fp_sign(fp)='0');
104
end function fp_is_positive;
105
 
106
function fp_is_negative(fp:fp_type) return boolean is
107
begin
108
  return (fp_sign(fp)='1');
109
end function fp_is_negative;
110
 
111 6 aloy.amber
end package body fp_generic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.