OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_comp.vhdl] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package fpmult_comp is
5
        type fpmult_in_type is record
6
                a:std_logic_vector(22 downto 0);
7
                b:std_logic_vector(22 downto 0);
8
        end record;
9
 
10
        type fpmult_out_type is record
11
                p:std_logic_vector(22 downto 0);
12
        end record;
13
 
14
        component fpmult is
15
                port(
16
                        clk:in std_logic;
17
                        d:in fpmult_in_type;
18
                        q:out fpmult_out_type
19
                );
20
        end component;
21
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.