OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_comp.vhdl] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3 6 aloy.amber
use work.fp_generic.all;
4 4 aloy.amber
 
5
package fpmult_comp is
6
        type fpmult_in_type is record
7 6 aloy.amber
                a:fp_type;
8
                b:fp_type;
9 4 aloy.amber
        end record;
10
 
11
        type fpmult_out_type is record
12 6 aloy.amber
                p:fp_type;
13 4 aloy.amber
        end record;
14
 
15
        component fpmult is
16
                port(
17
                        clk:in std_logic;
18
                        d:in fpmult_in_type;
19
                        q:out fpmult_out_type
20
                );
21
        end component;
22
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.