OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_stage23_comp.vhdl] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.fp_generic.all;
5
use work.fpmult_generic.all;
6
use work.fpmult_stageN_comp.all;
7
 
8
package fpmult_stage23_comp is
9
        alias fpmult_stage23_in_type is fpmult_stageN_out_type;
10
 
11
        type fpmult_stage23_out_type is record
12
                p:fp_type;
13
        end record;
14
 
15
        component fpmult_stage23 is
16
                port(
17
                        clk:in std_logic;
18
                        d:in fpmult_stage23_in_type;
19
                        q:out fpmult_stage23_out_type
20
                );
21
        end component;
22
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.