OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_stage23_comp.vhdl] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.fp_generic.all;
5
use work.fpmult_stageN_comp.all;
6
 
7
package fpmult_stage23_comp is
8
        alias fpmult_stage23_in_type is fpmult_stageN_out_type;
9
 
10
        type fpmult_stage23_out_type is record
11
                p:fp_type;
12
        end record;
13
 
14
        component fpmult_stage23 is
15
                port(
16
                        clk:in std_logic;
17
                        d:in fpmult_stage23_in_type;
18
                        q:out fpmult_stage23_out_type
19
                );
20
        end component;
21 5 aloy.amber
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.