OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_stageN_comp.vhdl] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.fp_generic.all;
5
use work.fpmult_generic.all;
6
 
7
package fpmult_stageN_comp is
8
        type fpmult_stageN_in_type is record
9
                a:fp_type;
10
                b:fp_type;
11
 
12
                p_sign:fp_sign_type;
13
                p_exp:fp_exp_type;
14
                p_mantissa:fp_long_mantissa_type;
15
        end record;
16
 
17
        alias fpmult_stageN_out_type is fpmult_stageN_in_type;
18
 
19
        component fpmult_stageN is
20
                generic(
21
                        N:integer
22
                );
23
                port(
24
                        clk:in std_logic;
25
                        d:in fpmult_stageN_in_type;
26
                        q:out fpmult_stageN_out_type
27
                );
28
        end component;
29
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.