OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_stageN_comp.vhdl] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.fp_generic.all;
5
 
6
package fpmult_stageN_comp is
7
        type fpmult_stageN_in_type is record
8
                a:fp_type;
9
                b:fp_type;
10
 
11
                p_sign:fp_sign_type;
12
                p_exp:fp_exp_type;
13
                p_mantissa:fp_long_mantissa_type;
14
        end record;
15
 
16
        alias fpmult_stageN_out_type is fpmult_stageN_in_type;
17
 
18
        component fpmult_stageN is
19
                generic(
20
                        N:integer
21
                );
22
                port(
23
                        clk:in std_logic;
24
                        d:in fpmult_stageN_in_type;
25
                        q:out fpmult_stageN_out_type
26
                );
27
        end component;
28 4 aloy.amber
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.