OpenCores
URL https://opencores.org/ocsvn/epc_rfid_transponder/epc_rfid_transponder/trunk

Subversion Repositories epc_rfid_transponder

[/] [epc_rfid_transponder/] [trunk/] [epc_tag.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 erwing
-------------------------------------------------------------------------------
2
-------------------------------------------------------------------------------
3
--     Politecnico di Torino                                              
4
--     Dipartimento di Automatica e Informatica               
5
-------------------------------------------------------------------------------
6
-------------------------------------------------------------------------------     
7
--
8
--     Title          : EPC Class1 Gen2 RFID Tag constants   
9
--
10
--     File name      : epc_tag.vhd 
11
--
12
--     Description    : EPC tag package
13
--
14
--     Authors        : Erwing R. Sanchez <erwing.sanchezsanchez@polito.it>
15
--
16
--     Rev. History   : 21 july 06 - First Draft 
17
--                                 
18
-------------------------------------------------------------------------------            
19
-------------------------------------------------------------------------------
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.all;
24
 
25
 
26
package EPC_TAG is
27
 
28
  constant MASKLENGTH : integer := 256;
29
 
30
  subtype Rec_Out_T is std_logic_vector(31 downto 0);
31
 
32
 
33
 
34
--  type CommandInternalCode_t is (cmd_NULL, cmd_QueryRep, cmd_Ack, cmd_Query, cmd_QueryAdjust,
35
--                                 cmd_Select, cmd_Nak, cmd_ReqRN, cmd_Read, cmd_Write, cmd_Kill,
36
--                                 cmd_Lock, cmd_Access, cmd_BlockWrite, cmd_BlockErase, cmd_Invalid);
37
 
38
  subtype CommandInternalCode_t is std_logic_vector(3 downto 0);
39
 
40
  constant cmd_NULL        : std_logic_vector(3 downto 0) := "0000";
41
  constant cmd_QueryRep    : std_logic_vector(3 downto 0) := "0001";
42
  constant cmd_Ack         : std_logic_vector(3 downto 0) := "0010";
43
  constant cmd_Query       : std_logic_vector(3 downto 0) := "0011";
44
  constant cmd_QueryAdjust : std_logic_vector(3 downto 0) := "0100";
45
  constant cmd_Select      : std_logic_vector(3 downto 0) := "0101";
46
  constant cmd_Nak         : std_logic_vector(3 downto 0) := "0110";
47
  constant cmd_ReqRN       : std_logic_vector(3 downto 0) := "0111";
48
  constant cmd_Read        : std_logic_vector(3 downto 0) := "1000";
49
  constant cmd_Write       : std_logic_vector(3 downto 0) := "1001";
50
  constant cmd_Kill        : std_logic_vector(3 downto 0) := "1010";
51
  constant cmd_Lock        : std_logic_vector(3 downto 0) := "1011";
52
  constant cmd_Access      : std_logic_vector(3 downto 0) := "1100";
53
  constant cmd_BlockWrite  : std_logic_vector(3 downto 0) := "1101";
54
  constant cmd_BlockErase  : std_logic_vector(3 downto 0) := "1110";
55
  constant cmd_Invalid     : std_logic_vector(3 downto 0) := "1111";
56
 
57
 
58
--  subtype CommandTransmitter_t is std_logic_vector(2 downto 0)
59
  constant trmcmd_Null         : std_logic_vector(2 downto 0) := "000";
60
  constant trmcmd_Send         : std_logic_vector(2 downto 0) := "001";
61
  constant trmcmd_SendError    : std_logic_vector(2 downto 0) := "010";
62
  constant trmcmd_SendRData    : std_logic_vector(2 downto 0) := "011";
63
  constant trmcmd_SendRHandler : std_logic_vector(2 downto 0) := "100";
64
 
65
 
66
end EPC_TAG;
67
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.