OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Sources/] [altera/] [esoc_fifo_nkx112/] [esoc_fifo_256x112.vhd] - Blame information for rev 42

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 lmaarsen
-- megafunction wizard: %FIFO%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: dcfifo 
5
 
6
-- ============================================================
7
-- File Name: esoc_fifo_256x112.vhd
8
-- Megafunction Name(s):
9
--                      dcfifo
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 8.1 Build 163 10/28/2008 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2008 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY esoc_fifo_256x112 IS
43
        PORT
44
        (
45
                aclr            : IN STD_LOGIC  := '0';
46
                data            : IN STD_LOGIC_VECTOR (111 DOWNTO 0);
47
                rdclk           : IN STD_LOGIC ;
48
                rdreq           : IN STD_LOGIC ;
49
                wrclk           : IN STD_LOGIC ;
50
                wrreq           : IN STD_LOGIC ;
51
                q               : OUT STD_LOGIC_VECTOR (111 DOWNTO 0);
52
                rdempty         : OUT STD_LOGIC ;
53
                rdusedw         : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
54
                wrfull          : OUT STD_LOGIC ;
55
                wrusedw         : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
56
        );
57
END esoc_fifo_256x112;
58
 
59
 
60
ARCHITECTURE SYN OF esoc_fifo_256x112 IS
61
 
62
        SIGNAL sub_wire0        : STD_LOGIC ;
63
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (7 DOWNTO 0);
64
        SIGNAL sub_wire2        : STD_LOGIC ;
65
        SIGNAL sub_wire3        : STD_LOGIC_VECTOR (111 DOWNTO 0);
66
        SIGNAL sub_wire4        : STD_LOGIC_VECTOR (7 DOWNTO 0);
67
 
68
 
69
 
70
        COMPONENT dcfifo
71
        GENERIC (
72
                intended_device_family          : STRING;
73
                lpm_hint                : STRING;
74
                lpm_numwords            : NATURAL;
75
                lpm_showahead           : STRING;
76
                lpm_type                : STRING;
77
                lpm_width               : NATURAL;
78
                lpm_widthu              : NATURAL;
79
                overflow_checking               : STRING;
80
                rdsync_delaypipe                : NATURAL;
81
                underflow_checking              : STRING;
82
                use_eab         : STRING;
83
                write_aclr_synch                : STRING;
84
                wrsync_delaypipe                : NATURAL
85
        );
86
        PORT (
87
                        wrclk   : IN STD_LOGIC ;
88
                        rdempty : OUT STD_LOGIC ;
89
                        rdreq   : IN STD_LOGIC ;
90
                        wrusedw : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
91
                        aclr    : IN STD_LOGIC ;
92
                        wrfull  : OUT STD_LOGIC ;
93
                        rdclk   : IN STD_LOGIC ;
94
                        q       : OUT STD_LOGIC_VECTOR (111 DOWNTO 0);
95
                        wrreq   : IN STD_LOGIC ;
96
                        data    : IN STD_LOGIC_VECTOR (111 DOWNTO 0);
97
                        rdusedw : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
98
        );
99
        END COMPONENT;
100
 
101
BEGIN
102
        rdempty    <= sub_wire0;
103
        wrusedw    <= sub_wire1(7 DOWNTO 0);
104
        wrfull    <= sub_wire2;
105
        q    <= sub_wire3(111 DOWNTO 0);
106
        rdusedw    <= sub_wire4(7 DOWNTO 0);
107
 
108
        dcfifo_component : dcfifo
109
        GENERIC MAP (
110
                intended_device_family => "Cyclone III",
111
                lpm_hint => "RAM_BLOCK_TYPE=M9K",
112
                lpm_numwords => 256,
113
                lpm_showahead => "ON",
114
                lpm_type => "dcfifo",
115
                lpm_width => 112,
116
                lpm_widthu => 8,
117
                overflow_checking => "OFF",
118
                rdsync_delaypipe => 3,
119
                underflow_checking => "OFF",
120
                use_eab => "ON",
121
                write_aclr_synch => "ON",
122
                wrsync_delaypipe => 3
123
        )
124
        PORT MAP (
125
                wrclk => wrclk,
126
                rdreq => rdreq,
127
                aclr => aclr,
128
                rdclk => rdclk,
129
                wrreq => wrreq,
130
                data => data,
131
                rdempty => sub_wire0,
132
                wrusedw => sub_wire1,
133
                wrfull => sub_wire2,
134
                q => sub_wire3,
135
                rdusedw => sub_wire4
136
        );
137
 
138
 
139
 
140
END SYN;
141
 
142
-- ============================================================
143
-- CNX file retrieval info
144
-- ============================================================
145
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
146
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
147
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
148
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
149
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1"
150
-- Retrieval info: PRIVATE: Clock NUMERIC "4"
151
-- Retrieval info: PRIVATE: Depth NUMERIC "256"
152
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
153
-- Retrieval info: PRIVATE: Full NUMERIC "1"
154
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
155
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
156
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
157
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
158
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"
159
-- Retrieval info: PRIVATE: Optimize NUMERIC "2"
160
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"
161
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
162
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"
163
-- Retrieval info: PRIVATE: UsedW NUMERIC "1"
164
-- Retrieval info: PRIVATE: Width NUMERIC "112"
165
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
166
-- Retrieval info: PRIVATE: diff_widths NUMERIC "0"
167
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
168
-- Retrieval info: PRIVATE: output_width NUMERIC "112"
169
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
170
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
171
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
172
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
173
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
174
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
175
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
176
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
177
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
178
-- Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M9K"
179
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "256"
180
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
181
-- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
182
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "112"
183
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "8"
184
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"
185
-- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "3"
186
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"
187
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
188
-- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "ON"
189
-- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "3"
190
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
191
-- Retrieval info: USED_PORT: data 0 0 112 0 INPUT NODEFVAL data[111..0]
192
-- Retrieval info: USED_PORT: q 0 0 112 0 OUTPUT NODEFVAL q[111..0]
193
-- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk
194
-- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty
195
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
196
-- Retrieval info: USED_PORT: rdusedw 0 0 8 0 OUTPUT NODEFVAL rdusedw[7..0]
197
-- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk
198
-- Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull
199
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
200
-- Retrieval info: USED_PORT: wrusedw 0 0 8 0 OUTPUT NODEFVAL wrusedw[7..0]
201
-- Retrieval info: CONNECT: @data 0 0 112 0 data 0 0 112 0
202
-- Retrieval info: CONNECT: q 0 0 112 0 @q 0 0 112 0
203
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
204
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
205
-- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
206
-- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
207
-- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
208
-- Retrieval info: CONNECT: rdusedw 0 0 8 0 @rdusedw 0 0 8 0
209
-- Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
210
-- Retrieval info: CONNECT: wrusedw 0 0 8 0 @wrusedw 0 0 8 0
211
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
212
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
213
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_fifo_256x112.vhd TRUE
214
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_fifo_256x112.inc TRUE
215
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_fifo_256x112.cmp TRUE
216
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_fifo_256x112.bsf TRUE
217
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_fifo_256x112_inst.vhd TRUE
218
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_fifo_256x112_waveforms.html TRUE
219
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_fifo_256x112_wave*.jpg FALSE
220
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.