OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Sources/] [logixa/] [esoc_clk_en_gen.vhd] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 lmaarsen
--------------------------------------------------------------------------------
2 53 lmaarsen
--
3
-- This VHDL file was generated by EASE/HDL 7.4 Revision 4 from HDL Works B.V.
4
--
5
-- Ease library  : work
6
-- HDL library   : work
7
-- Host name     : S212065
8
-- User name     : df768
9
-- Time stamp    : Tue Aug 19 08:05:18 2014
10
--
11
-- Designed by   : L.Maarsen
12
-- Company       : LogiXA
13
-- Project info  : eSoC
14
--
15 42 lmaarsen
--------------------------------------------------------------------------------
16 53 lmaarsen
 
17 42 lmaarsen
--------------------------------------------------------------------------------
18
-- Object        : Entity work.esoc_clk_en_gen
19
-- Last modified : Mon Apr 14 12:48:32 2014.
20
--------------------------------------------------------------------------------
21
 
22
 
23
 
24
library ieee, std, work;
25
use ieee.std_logic_1164.all;
26
use std.textio.all;
27
use ieee.numeric_std.all;
28
use work.package_esoc_configuration.all;
29
 
30
entity esoc_clk_en_gen is
31
  port(
32
    clk     : in     std_logic;
33
    clk_div : in     integer;
34
    clk_en  : out    std_logic;
35
    reset   : in     std_logic);
36
end entity esoc_clk_en_gen;
37
 
38
--------------------------------------------------------------------------------
39
-- Object        : Architecture work.esoc_clk_en_gen.esoc_clk_en_gen
40
-- Last modified : Mon Apr 14 12:48:32 2014.
41
--------------------------------------------------------------------------------
42
 
43
 
44
architecture esoc_clk_en_gen of esoc_clk_en_gen is
45
 
46
signal clk_count: integer;
47
 
48
begin
49
 
50
--=============================================================================================================
51
-- Process                : proces to create clock enable signal
52
-- Description  : 
53
--=============================================================================================================    
54
create_en:  process(clk, reset)
55
            begin
56
              if reset = '1' then
57
                clk_count  <= 0;
58
                clk_en     <= '0';
59
 
60
              elsif clk'event and clk = '1' then
61
                -- clear one-clock active signals
62
                clk_en <= '0';
63
 
64
                -- count down until 0, then assert the enable for one clock period
65
                if clk_count = 0 then
66
                  clk_count <= clk_div;
67
                  clk_en    <= '1';
68
                else
69
                  clk_count <= clk_count - 1 ;
70
                end if;
71
              end if;
72
            end process;
73
 
74
end architecture esoc_clk_en_gen ; -- of esoc_clk_en_gen
75
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.