OpenCores
URL https://opencores.org/ocsvn/ether_arp_1g/ether_arp_1g/trunk

Subversion Repositories ether_arp_1g

[/] [ether_arp_1g/] [trunk/] [rtl/] [arp_package.vhdl] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jrwagz
----------------------------------------------------------------------------------
2
-- Company: Carnegie Mellon University, Pittsburgh PA 
3
-- Engineer: Justin Wagner
4 2 jrwagz
-- 
5 3 jrwagz
-- Create Date:    7/Oct/2011
6
-- Design Name: 
7
-- Module Name:    arp_package - package 
8
-- Project Name: 
9
-- Target Devices:  n/a
10
-- Tool versions: 
11 2 jrwagz
--
12 3 jrwagz
-- Dependencies: arp_package.vhdl (Definitions of various constants)
13
--
14
----------------------------------------------------------------------------------
15 2 jrwagz
library ieee;
16
use ieee.std_logic_1164.all;
17
use ieee.std_logic_arith.all;
18
 
19
package arp_package is
20
    type HA_mem_type is array (0 to 5) of std_logic_vector(7 downto 0);
21
    type PA_mem_type is array (0 to 3) of std_logic_vector(7 downto 0);
22
    type TYPE_mem_type is array (0 to 1) of std_logic_vector(7 downto 0);
23
 
24
    constant MAC_BDCST_ADDR   : HA_mem_type                   := ((x"FF"),(x"FF"),(x"FF"),(x"FF"),(x"FF"),(x"FF"));
25
    constant CMP_A_MAC_ADDR   : HA_mem_type                   := ((x"00"),(x"01"),(x"42"),(x"00"),(x"5F"),(x"68"));
26
    constant CMP_A_IPV4_ADDR  : PA_mem_type                   := ((x"C0"),(x"A8"),(x"01"),(x"01"));
27
    constant E_TYPE_ARP       : TYPE_mem_type                 := ((x"08"),(x"06"));
28
    constant H_TYPE_ETH       : TYPE_mem_type                 := ((x"00"),(x"01"));
29
    constant P_TYPE_IPV4      : TYPE_mem_type                 := ((x"08"),(x"00"));
30
    constant ARP_OPER_REQ     : TYPE_mem_type                 := ((x"00"),(x"01"));
31
    constant ARP_OPER_RESP    : TYPE_mem_type                 := ((x"00"),(x"02"));
32
    constant H_TYPE_ETH_LEN   : std_logic_vector(7 downto 0)  := x"06";
33
    constant P_TYPE_IPV4_LEN  : std_logic_vector(7 downto 0)  := x"04";
34
end arp_package;
35
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.