OpenCores
URL https://opencores.org/ocsvn/ether_arp_1g/ether_arp_1g/trunk

Subversion Repositories ether_arp_1g

[/] [ether_arp_1g/] [trunk/] [rtl/] [arp_package.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jrwagz
-- 
2 4 jrwagz
-- author:   Justin Wagner
3
-- file:     arp_package.vhdl
4
-- comment:  package for ARP
5 2 jrwagz
--
6 4 jrwagz
 
7 2 jrwagz
library ieee;
8
use ieee.std_logic_1164.all;
9
use ieee.std_logic_arith.all;
10
 
11
package arp_package is
12
    type HA_mem_type is array (0 to 5) of std_logic_vector(7 downto 0);
13
    type PA_mem_type is array (0 to 3) of std_logic_vector(7 downto 0);
14
    type TYPE_mem_type is array (0 to 1) of std_logic_vector(7 downto 0);
15
 
16
    constant MAC_BDCST_ADDR   : HA_mem_type                   := ((x"FF"),(x"FF"),(x"FF"),(x"FF"),(x"FF"),(x"FF"));
17
    constant CMP_A_MAC_ADDR   : HA_mem_type                   := ((x"00"),(x"01"),(x"42"),(x"00"),(x"5F"),(x"68"));
18
    constant CMP_A_IPV4_ADDR  : PA_mem_type                   := ((x"C0"),(x"A8"),(x"01"),(x"01"));
19
    constant E_TYPE_ARP       : TYPE_mem_type                 := ((x"08"),(x"06"));
20
    constant H_TYPE_ETH       : TYPE_mem_type                 := ((x"00"),(x"01"));
21
    constant P_TYPE_IPV4      : TYPE_mem_type                 := ((x"08"),(x"00"));
22
    constant ARP_OPER_REQ     : TYPE_mem_type                 := ((x"00"),(x"01"));
23
    constant ARP_OPER_RESP    : TYPE_mem_type                 := ((x"00"),(x"02"));
24
    constant H_TYPE_ETH_LEN   : std_logic_vector(7 downto 0)  := x"06";
25
    constant P_TYPE_IPV4_LEN  : std_logic_vector(7 downto 0)  := x"04";
26
end arp_package;
27
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.