OpenCores
URL https://opencores.org/ocsvn/ether_arp_1g/ether_arp_1g/trunk

Subversion Repositories ether_arp_1g

[/] [ether_arp_1g/] [trunk/] [testbench/] [tb-ed.vhdl] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jrwagz
----------------------------------------------------------------------------------
2
-- Company: Carnegie Mellon University, Pittsburgh PA 
3
-- Engineer: Justin Wagner
4
-- 
5
-- Create Date:    7/Oct/2011
6
-- Design Name: 
7
-- Module Name:    tb_edge_detector - testbench 
8
-- Project Name: 
9
-- Target Devices:  n/a
10
-- Tool versions: 
11
--
12
-- Dependencies: 
13
--
14
----------------------------------------------------------------------------------
15 2 jrwagz
library ieee;
16
use ieee.std_logic_1164.all;
17
use std.textio.all;
18
 
19
entity tb_edge_detector is
20
  --empty
21
end tb_edge_detector;
22
 
23
 
24
architecture beh of tb_edge_detector is
25
 
26
  component edge_detector
27
        port(
28
                din   :  in  std_logic;
29
                clk   :  in  std_logic;
30
                rst_n :  in  std_logic;
31
                dout  :  out std_logic
32
            );
33
  end component edge_detector;
34
 
35
 
36
  --signal declaration
37
 
38
     signal clk_net        : std_logic;
39
     signal rst_n_net      : std_logic;
40
     signal din_net        : std_logic;
41
     signal dout_net       : std_logic;
42
 
43
 begin
44
        inst_1: edge_detector
45
          port map(
46
                  din   =>  din_net,
47
                  clk   =>  clk_net,
48
                  rst_n =>  rst_n_net,
49
                  dout  =>  dout_net
50
                  );
51
 
52
 
53
    clk_p : process
54
    begin
55
      clk_net <= '0';
56
      wait for 2 ns;
57
      clk_net <= '1';
58
      wait for 2 ns;
59
    end process clk_p;
60
 
61
    input_data : process
62
        begin
63
            din_net <= '0';
64
                wait for 7 ns;
65
            din_net <= '1';
66
                wait for 10 ns;
67
            din_net <= '0';
68
                wait for 20 ns;
69
        end process input_data;
70
 
71
    test_bench : process
72
        begin
73
 
74
          rst_n_net <= '0';
75
          wait for 1 ns;
76
          rst_n_net <= '1';
77
          wait for 100 ns;
78
 
79
          assert false
80
          report "End of Simulation"
81
          severity failure;
82
 
83
        end process test_bench;
84
 
85
end beh;
86
 
87
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.