OpenCores
URL https://opencores.org/ocsvn/etherlab/etherlab/trunk

Subversion Repositories etherlab

[/] [etherlab/] [trunk/] [net/] [EtherSocket/] [src/] [etherlab/] [EChannel.cs] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
/******************************************************************************
2
 * ETHERLAB - FPGA To C# To LABVIEW Bridge                                    *
3
 ******************************************************************************
4
 *                                                                            *
5
 * Copyright (C)2012  Mathias Hörtnagl           *
6
 *                                                                            *
7
 * This program is free software: you can redistribute it and/or modify       *
8
 * it under the terms of the GNU General Public License as published by       *
9
 * the Free Software Foundation, either version 3 of the License, or          *
10
 * (at your option) any later version.                                        *
11
 *                                                                            *
12
 * This program is distributed in the hope that it will be useful,            *
13
 * but WITHOUT ANY WARRANTY; without even the implied warranty of             *
14
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              *
15
 * GNU General Public License for more details.                               *
16
 *                                                                            *
17
 * You should have received a copy of the GNU General Public License          *
18
 * along with this program.  If not, see .      *
19
 ******************************************************************************/
20
using System;
21
using System.Collections.Generic;
22
using System.Linq;
23
using System.Text;
24
 
25
namespace EtherLab
26
{
27
    /// 
28
    /// Available channels A to H.
29
    /// 
30
    [Flags]
31
    public enum EChannel : int
32
    {
33
        CHANNEL_A = 0,
34
        CHANNEL_B = 1,
35
        CHANNEL_C = 2,
36
        CHANNEL_D = 3,
37
        CHANNEL_E = 4,
38
        CHANNEL_F = 5,
39
        CHANNEL_G = 6,
40
        CHANNEL_H = 7
41
    }
42
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.