OpenCores
URL https://opencores.org/ocsvn/etherlab/etherlab/trunk

Subversion Repositories etherlab

[/] [etherlab/] [trunk/] [xilinx/] [clock.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____ 
5
--  /   /\/   / 
6
-- /___/  \  /    Vendor: Xilinx 
7
-- \   \   \/     Version : 13.1
8
--  \   \         Application : xaw2vhdl
9
--  /   /         Filename : clock.vhd
10
-- /___/   /\     Timestamp : 02/27/2012 13:36:57
11
-- \   \  /  \ 
12
--  \___\/\___\ 
13
--
14
--Command: xaw2vhdl-st C:\Mathias\smole\etherlab\xilinx\ipcore_dir\.\clock.xaw C:\Mathias\smole\etherlab\xilinx\ipcore_dir\.\clock
15
--Design Name: clock
16
--Device: xc3s500e-4fg320
17
--
18
-- Module clock
19
-- Generated by Xilinx Architecture Wizard
20
-- Written for synthesis tool: XST
21
 
22
library ieee;
23
use ieee.std_logic_1164.ALL;
24
use ieee.numeric_std.ALL;
25
library UNISIM;
26
use UNISIM.Vcomponents.ALL;
27
 
28
entity clock is
29
   port ( CLKIN_IN        : in    std_logic;
30
          RST_IN          : in    std_logic;
31
          CLKIN_IBUFG_OUT : out   std_logic;
32
          CLK0_OUT        : out   std_logic;
33
          CLK90_OUT       : out   std_logic);
34
end clock;
35
 
36
architecture BEHAVIORAL of clock is
37
   signal CLKFB_IN        : std_logic;
38
   signal CLKIN_IBUFG     : std_logic;
39
   signal CLK0_BUF        : std_logic;
40
   signal CLK90_BUF       : std_logic;
41
   signal GND_BIT         : std_logic;
42
begin
43
   GND_BIT <= '0';
44
   CLKIN_IBUFG_OUT <= CLKIN_IBUFG;
45
   CLK0_OUT <= CLKFB_IN;
46
   CLKIN_IBUFG_INST : IBUFG
47
      port map (I=>CLKIN_IN,
48
                O=>CLKIN_IBUFG);
49
 
50
   CLK0_BUFG_INST : BUFG
51
      port map (I=>CLK0_BUF,
52
                O=>CLKFB_IN);
53
 
54
   CLK90_BUFG_INST : BUFG
55
      port map (I=>CLK90_BUF,
56
                O=>CLK90_OUT);
57
 
58
   DCM_SP_INST : DCM_SP
59
   generic map( CLK_FEEDBACK => "1X",
60
            CLKDV_DIVIDE => 2.0,
61
            CLKFX_DIVIDE => 1,
62
            CLKFX_MULTIPLY => 4,
63
            CLKIN_DIVIDE_BY_2 => FALSE,
64
            CLKIN_PERIOD => 20.000,
65
            CLKOUT_PHASE_SHIFT => "NONE",
66
            DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
67
            DFS_FREQUENCY_MODE => "LOW",
68
            DLL_FREQUENCY_MODE => "LOW",
69
            DUTY_CYCLE_CORRECTION => TRUE,
70
            FACTORY_JF => x"C080",
71
            PHASE_SHIFT => 0,
72
            STARTUP_WAIT => FALSE)
73
      port map (CLKFB=>CLKFB_IN,
74
                CLKIN=>CLKIN_IBUFG,
75
                DSSEN=>GND_BIT,
76
                PSCLK=>GND_BIT,
77
                PSEN=>GND_BIT,
78
                PSINCDEC=>GND_BIT,
79
                RST=>RST_IN,
80
                CLKDV=>open,
81
                CLKFX=>open,
82
                CLKFX180=>open,
83
                CLK0=>CLK0_BUF,
84
                CLK2X=>open,
85
                CLK2X180=>open,
86
                CLK90=>CLK90_BUF,
87
                CLK180=>open,
88
                CLK270=>open,
89
                LOCKED=>open,
90
                PSDONE=>open,
91
                STATUS=>open);
92
 
93
end BEHAVIORAL;
94
 
95
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.