OpenCores
URL https://opencores.org/ocsvn/fir_wishbone/fir_wishbone/trunk

Subversion Repositories fir_wishbone

[/] [fir_wishbone/] [trunk/] [workspaces/] [synthesis/] [quartus/] [stp/] [synthesis/] [stp.vhd] - Blame information for rev 16

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 daniel.kho
-- stp.vhd
2
 
3
-- Generated using ACDS version 16.0 211
4
 
5
library IEEE;
6
use IEEE.std_logic_1164.all;
7
use IEEE.numeric_std.all;
8
 
9
entity stp is
10
        port (
11
                acq_clk        : in std_logic                      := '0';             -- acq_clk.clk
12
                acq_data_in    : in std_logic_vector(127 downto 0) := (others => '0'); --     tap.acq_data_in
13
                acq_trigger_in : in std_logic_vector(0 downto 0)   := (others => '0')  --        .acq_trigger_in
14
        );
15
end entity stp;
16
 
17
architecture rtl of stp is
18
        component sld_signaltap is
19
                generic (
20
                        sld_data_bits               : integer := 1;
21
                        sld_sample_depth            : integer := 128;
22
                        sld_ram_block_type          : string  := "AUTO";
23
                        sld_storage_qualifier_mode  : string  := "OFF";
24
                        sld_trigger_bits            : integer := 1;
25
                        sld_trigger_level           : integer := 1;
26
                        sld_trigger_in_enabled      : integer := 0;
27
                        sld_enable_advanced_trigger : integer := 0;
28
                        sld_trigger_level_pipeline  : integer := 1;
29
                        sld_trigger_pipeline        : integer := 0;
30
                        sld_ram_pipeline            : integer := 0;
31
                        sld_counter_pipeline        : integer := 0;
32
                        sld_node_info               : integer := 806383104;
33
                        sld_node_crc_bits           : integer := 32;
34
                        sld_node_crc_hiword         : integer := 12345;
35
                        sld_node_crc_loword         : integer := 19899
36
                );
37
                port (
38
                        acq_data_in    : in std_logic_vector(127 downto 0) := (others => 'X'); -- acq_data_in
39
                        acq_trigger_in : in std_logic_vector(0 downto 0)   := (others => 'X'); -- acq_trigger_in
40
                        acq_clk        : in std_logic                      := 'X'              -- clk
41
                );
42
        end component sld_signaltap;
43
 
44
begin
45
 
46
        signaltap_ii_logic_analyzer_0 : component sld_signaltap
47
                generic map (
48
                        sld_data_bits               => 128,
49
                        sld_sample_depth            => 128,
50
                        sld_ram_block_type          => "AUTO",
51
                        sld_storage_qualifier_mode  => "OFF",
52
                        sld_trigger_bits            => 1,
53
                        sld_trigger_level           => 1,
54
                        sld_trigger_in_enabled      => 0,
55
                        sld_enable_advanced_trigger => 0,
56
                        sld_trigger_level_pipeline  => 1,
57
                        sld_trigger_pipeline        => 0,
58
                        sld_ram_pipeline            => 0,
59
                        sld_counter_pipeline        => 0,
60
                        sld_node_info               => 806383104,
61
                        sld_node_crc_bits           => 32,
62
                        sld_node_crc_hiword         => 24613,
63
                        sld_node_crc_loword         => 48613
64
                )
65
                port map (
66
                        acq_data_in    => acq_data_in,    --     tap.acq_data_in
67
                        acq_trigger_in => acq_trigger_in, --        .acq_trigger_in
68
                        acq_clk        => acq_clk         -- acq_clk.clk
69
                );
70
 
71
end architecture rtl; -- of stp

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.