OpenCores
URL https://opencores.org/ocsvn/fluid_core_2/fluid_core_2/trunk

Subversion Repositories fluid_core_2

[/] [fluid_core_2/] [trunk/] [xilinx14.5 project/] [FluidCore.syr] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 azmathmoos
Release 14.5 - xst P.58f (nt64)
2
Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to xst/projnav.tmp
4
 
5
 
6
Total REAL time to Xst completion: 1.00 secs
7
Total CPU time to Xst completion: 0.41 secs
8
 
9
--> Parameter xsthdpdir set to xst
10
 
11
 
12
Total REAL time to Xst completion: 1.00 secs
13
Total CPU time to Xst completion: 0.42 secs
14
 
15
--> Reading design: FluidCore.prj
16
 
17
TABLE OF CONTENTS
18
  1) Synthesis Options Summary
19
  2) HDL Compilation
20
  3) Design Hierarchy Analysis
21
  4) HDL Analysis
22
  5) HDL Synthesis
23
     5.1) HDL Synthesis Report
24
  6) Advanced HDL Synthesis
25
     6.1) Advanced HDL Synthesis Report
26
  7) Low Level Synthesis
27
  8) Partition Report
28
  9) Final Report
29
        9.1) Device utilization summary
30
        9.2) Partition Resource Summary
31
        9.3) TIMING REPORT
32
 
33
 
34
=========================================================================
35
*                      Synthesis Options Summary                        *
36
=========================================================================
37
---- Source Parameters
38
Input File Name                    : "FluidCore.prj"
39
Input Format                       : mixed
40
Ignore Synthesis Constraint File   : NO
41
 
42
---- Target Parameters
43
Output File Name                   : "FluidCore"
44
Output Format                      : NGC
45
Target Device                      : xc3s500e-4-fg320
46
 
47
---- Source Options
48
Top Module Name                    : FluidCore
49
Automatic FSM Extraction           : YES
50
FSM Encoding Algorithm             : Auto
51
Safe Implementation                : No
52
FSM Style                          : LUT
53
RAM Extraction                     : Yes
54
RAM Style                          : Auto
55
ROM Extraction                     : Yes
56
Mux Style                          : Auto
57
Decoder Extraction                 : YES
58
Priority Encoder Extraction        : Yes
59
Shift Register Extraction          : YES
60
Logical Shifter Extraction         : YES
61
XOR Collapsing                     : YES
62
ROM Style                          : Auto
63
Mux Extraction                     : Yes
64
Resource Sharing                   : YES
65
Asynchronous To Synchronous        : NO
66
Multiplier Style                   : LUT
67
Automatic Register Balancing       : Yes
68
 
69
---- Target Options
70
Add IO Buffers                     : YES
71
Global Maximum Fanout              : 100000
72
Add Generic Clock Buffer(BUFG)     : 24
73
Register Duplication               : YES
74
Move First FlipFlop Stage          : YES
75
Move Last FlipFlop Stage           : YES
76
Slice Packing                      : YES
77
Optimize Instantiated Primitives   : NO
78
Use Clock Enable                   : Yes
79
Use Synchronous Set                : Yes
80
Use Synchronous Reset              : Yes
81
Pack IO Registers into IOBs        : True
82
Equivalent register Removal        : YES
83
 
84
---- General Options
85
Optimization Goal                  : Speed
86
Optimization Effort                : 2
87
Keep Hierarchy                     : No
88
Netlist Hierarchy                  : As_Optimized
89
RTL Output                         : Yes
90
Global Optimization                : AllClockNets
91
Read Cores                         : YES
92
Write Timing Constraints           : NO
93
Cross Clock Analysis               : NO
94
Hierarchy Separator                : /
95
Bus Delimiter                      : <>
96
Case Specifier                     : Maintain
97
Slice Utilization Ratio            : 100
98
BRAM Utilization Ratio             : 100
99
Verilog 2001                       : YES
100
Auto BRAM Packing                  : NO
101
Slice Utilization Ratio Delta      : 5
102
 
103
=========================================================================
104
 
105
 
106
=========================================================================
107
*                          HDL Compilation                              *
108
=========================================================================
109
Compiling verilog file "Shifter.v" in library work
110
Compiling verilog include file "Configuration.v"
111
Compiling verilog file "int_ALU.v" in library work
112
Compiling verilog include file "Configuration.v"
113
Module  compiled
114
Compiling verilog file "WB_Stage.v" in library work
115
Compiling verilog include file "Configuration.v"
116
Module  compiled
117
Compiling verilog file "uOP_Store.v" in library work
118
Compiling verilog include file "Configuration.v"
119
Module  compiled
120
Compiling verilog file "Staller.v" in library work
121
Compiling verilog include file "Configuration.v"
122
Module  compiled
123
Compiling verilog file "Reg_Hist.v" in library work
124
Compiling verilog include file "Configuration.v"
125
Module  compiled
126
Compiling verilog file "Reg_File.v" in library work
127
Compiling verilog include file "Configuration.v"
128
Module  compiled
129
Compiling verilog file "P_Reg.v" in library work
130
Compiling verilog include file "Configuration.v"
131
Module  compiled
132
Compiling verilog file "MEM_Stage.v" in library work
133
Compiling verilog include file "Configuration.v"
134
Module  compiled
135
Compiling verilog file "interrupt_unit.v" in library work
136
Compiling verilog include file "Configuration.v"
137
Module  compiled
138
Compiling verilog file "IF_Stage.v" in library work
139
Compiling verilog include file "Configuration.v"
140
Module  compiled
141
Compiling verilog file "ID_Stage.v" in library work
142
Compiling verilog include file "Configuration.v"
143
Module  compiled
144
Compiling verilog file "EX_Stage.v" in library work
145
Compiling verilog include file "Configuration.v"
146
Module  compiled
147
Compiling verilog file "FluidCore.v" in library work
148
Compiling verilog include file "Configuration.v"
149
Module  compiled
150
Module  compiled
151
No errors in compilation
152
Analysis of file <"FluidCore.prj"> succeeded.
153
 
154
 
155
=========================================================================
156
*                     Design Hierarchy Analysis                         *
157
=========================================================================
158
Analyzing hierarchy for module  in library .
159
 
160
Analyzing hierarchy for module  in library .
161
 
162
Analyzing hierarchy for module  in library  with parameters.
163
        p_reg_w = "00000000000000000000000000001111"
164
 
165
Analyzing hierarchy for module  in library  with parameters.
166
        p_reg_w = "00000000000000000000000001110110"
167
 
168
Analyzing hierarchy for module  in library  with parameters.
169
        p_reg_w = "00000000000000000000000001001000"
170
 
171
Analyzing hierarchy for module  in library  with parameters.
172
        p_reg_w = "00000000000000000000000000100110"
173
 
174
Analyzing hierarchy for module  in library .
175
 
176
Analyzing hierarchy for module  in library .
177
 
178
Analyzing hierarchy for module  in library .
179
 
180
Analyzing hierarchy for module  in library .
181
 
182
Analyzing hierarchy for module  in library .
183
 
184
Analyzing hierarchy for module  in library .
185
 
186
Analyzing hierarchy for module  in library .
187
 
188
Analyzing hierarchy for module  in library .
189
 
190
Analyzing hierarchy for module  in library .
191
 
192
Analyzing hierarchy for module  in library .
193
 
194
Analyzing hierarchy for module  in library .
195
 
196
 
197
=========================================================================
198
*                            HDL Analysis                               *
199
=========================================================================
200
Analyzing top module .
201
Module  is correct for synthesis.
202
 
203
Analyzing module  in library .
204
Module  is correct for synthesis.
205
 
206
Analyzing module  in library .
207
        p_reg_w = 32'sb00000000000000000000000000001111
208
Module  is correct for synthesis.
209
 
210
Analyzing module  in library .
211
        p_reg_w = 32'sb00000000000000000000000001110110
212
Module  is correct for synthesis.
213
 
214
Analyzing module  in library .
215
        p_reg_w = 32'sb00000000000000000000000001001000
216
Module  is correct for synthesis.
217
 
218
Analyzing module  in library .
219
        p_reg_w = 32'sb00000000000000000000000000100110
220
Module  is correct for synthesis.
221
 
222
Analyzing module  in library .
223
Module  is correct for synthesis.
224
 
225
Analyzing module  in library .
226
Module  is correct for synthesis.
227
 
228
Analyzing module  in library .
229
Module  is correct for synthesis.
230
 
231
Analyzing module  in library .
232
Module  is correct for synthesis.
233
 
234
Analyzing module  in library .
235
Module  is correct for synthesis.
236
 
237
Analyzing module  in library .
238
Module  is correct for synthesis.
239
 
240
Analyzing module  in library .
241
Module  is correct for synthesis.
242
 
243
Analyzing module  in library .
244
Module  is correct for synthesis.
245
 
246
Analyzing module  in library .
247
Module  is correct for synthesis.
248
 
249
Analyzing module  in library .
250
Module  is correct for synthesis.
251
 
252
Analyzing module  in library .
253
        Calling function .
254
        Calling function .
255
INFO:Xst:1607 - Contents of array  may be accessed with an index that does not cover the full array size.
256
Module  is correct for synthesis.
257
 
258
 
259
=========================================================================
260
*                           HDL Synthesis                               *
261
=========================================================================
262
 
263
Performing bidirectional port resolution...
264
 
265
Synthesizing Unit .
266
    Related source file is "Staller.v".
267
    Found 9-bit register for signal .
268
    Found 1-bit register for signal .
269
    Summary:
270
        inferred  10 D-type flip-flop(s).
271
Unit  synthesized.
272
 
273
 
274
Synthesizing Unit .
275
    Related source file is "P_Reg.v".
276
    Found 16-bit register for signal .
277
    Summary:
278
        inferred  16 D-type flip-flop(s).
279
Unit  synthesized.
280
 
281
 
282
Synthesizing Unit .
283
    Related source file is "P_Reg.v".
284
    Found 119-bit register for signal .
285
    Summary:
286
        inferred 119 D-type flip-flop(s).
287
Unit  synthesized.
288
 
289
 
290
Synthesizing Unit .
291
    Related source file is "P_Reg.v".
292
    Found 73-bit register for signal .
293
    Summary:
294
        inferred  73 D-type flip-flop(s).
295
Unit  synthesized.
296
 
297
 
298
Synthesizing Unit .
299
    Related source file is "P_Reg.v".
300
    Found 39-bit register for signal .
301
    Summary:
302
        inferred  39 D-type flip-flop(s).
303
Unit  synthesized.
304
 
305
 
306
Synthesizing Unit .
307
    Related source file is "IF_Stage.v".
308
    Found 4-bit tristate buffer for signal .
309
    Found 10-bit 4-to-1 multiplexer for signal <$varindex0000> created at line 63.
310
    Found 6-bit adder carry out for signal  created at line 47.
311
    Found 1-bit register for signal .
312
    Found 16-bit register for signal .
313
    Found 6-bit register for signal .
314
    Found 6-bit adder for signal  created at line 55.
315
    Found 40-bit register for signal .
316
    Found 2-bit updown counter for signal .
317
    Found 2-bit adder for signal  created at line 43.
318
    Summary:
319
        inferred   1 Counter(s).
320
        inferred  63 D-type flip-flop(s).
321
        inferred   3 Adder/Subtractor(s).
322
        inferred  10 Multiplexer(s).
323
        inferred   4 Tristate(s).
324
Unit  synthesized.
325
 
326
 
327
Synthesizing Unit .
328
    Related source file is "Reg_File.v".
329
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
330
    Found 256-bit register for signal .
331
INFO:Xst:738 - HDL ADVISOR - 256 flip-flops were inferred for signal . You may be trying to describe a RAM in a way that is incompatible with block and distributed RAM resources available on Xilinx devices, or with a specific template that is not supported. Please review the Xilinx resources documentation and the XST user manual for coding guidelines. Taking advantage of RAM resources will lead to improved device usage and reduced synthesis time.
332
    Summary:
333
        inferred 256 D-type flip-flop(s).
334
        inferred  64 Multiplexer(s).
335
Unit  synthesized.
336
 
337
 
338
Synthesizing Unit .
339
    Related source file is "Reg_Hist.v".
340
    Found 1-bit xor2 for signal  created at line 25.
341
    Found 1-bit xor2 for signal  created at line 25.
342
    Found 1-bit xor2 for signal  created at line 25.
343
    Found 1-bit xor2 for signal  created at line 26.
344
    Found 1-bit xor2 for signal  created at line 26.
345
    Found 1-bit xor2 for signal  created at line 26.
346
    Found 1-bit xor2 for signal  created at line 27.
347
    Found 1-bit xor2 for signal  created at line 27.
348
    Found 1-bit xor2 for signal  created at line 27.
349
    Found 1-bit xor2 for signal  created at line 28.
350
    Found 1-bit xor2 for signal  created at line 28.
351
    Found 1-bit xor2 for signal  created at line 28.
352
    Found 1-bit xor2 for signal  created at line 29.
353
    Found 1-bit xor2 for signal  created at line 29.
354
    Found 1-bit xor2 for signal  created at line 29.
355
    Found 1-bit xor2 for signal  created at line 30.
356
    Found 1-bit xor2 for signal  created at line 30.
357
    Found 1-bit xor2 for signal  created at line 30.
358
Unit  synthesized.
359
 
360
 
361
Synthesizing Unit .
362
    Related source file is "ID_Stage.v".
363
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
364
WARNING:Xst:737 - Found 32-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
365
WARNING:Xst:737 - Found 32-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
366
Unit  synthesized.
367
 
368
 
369
Synthesizing Unit .
370
    Related source file is "uOP_Store.v".
371
    Found 338-bit register for signal .
372
INFO:Xst:738 - HDL ADVISOR - 338 flip-flops were inferred for signal . You may be trying to describe a RAM in a way that is incompatible with block and distributed RAM resources available on Xilinx devices, or with a specific template that is not supported. Please review the Xilinx resources documentation and the XST user manual for coding guidelines. Taking advantage of RAM resources will lead to improved device usage and reduced synthesis time.
373
    Summary:
374
        inferred 338 D-type flip-flop(s).
375
        inferred  13 Multiplexer(s).
376
Unit  synthesized.
377
 
378
 
379
Synthesizing Unit .
380
    Related source file is "MEM_Stage.v".
381
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
382
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
383
    Found 32-bit tristate buffer for signal .
384
    Found 6-bit tristate buffer for signal .
385
    Found 1-bit tristate buffer for signal .
386
    Found 1-bit adder for signal .
387
    Found 1-bit adder for signal  created at line 52.
388
    Found 1-bit adder for signal  created at line 55.
389
    Found 1-bit xor2 for signal  created at line 52.
390
    Summary:
391
        inferred   3 Adder/Subtractor(s).
392
        inferred  39 Tristate(s).
393
Unit  synthesized.
394
 
395
 
396
Synthesizing Unit .
397
    Related source file is "WB_Stage.v".
398
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
399
    Found 5-bit tristate buffer for signal .
400
    Found 32-bit tristate buffer for signal .
401
    Summary:
402
        inferred  37 Tristate(s).
403
Unit  synthesized.
404
 
405
 
406
Synthesizing Unit .
407
    Related source file is "interrupt_unit.v".
408
    Found 5x6-bit dual-port RAM  for signal .
409
WARNING:Xst:737 - Found 2-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
410
    Found 6-bit tristate buffer for signal .
411
    Found 4-bit register for signal .
412
    Found 1-bit register for signal .
413
    Summary:
414
        inferred   1 RAM(s).
415
        inferred   5 D-type flip-flop(s).
416
        inferred   6 Tristate(s).
417
Unit  synthesized.
418
 
419
 
420
Synthesizing Unit .
421
    Related source file is "int_ALU.v".
422
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
423
WARNING:Xst:737 - Found 32-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
424
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
425
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
426
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
427
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
428
    Found 32-bit tristate buffer for signal .
429
    Found 32-bit adder carry out for signal  created at line 32.
430
    Found 32-bit adder carry out for signal  created at line 33.
431
    Found 1-bit xor4 for signal  created at line 42.
432
    Found 32-bit adder for signal .
433
    Found 32-bit 8-to-1 multiplexer for signal  created at line 31.
434
    Found 32-bit xor2 for signal  created at line 38.
435
    Summary:
436
        inferred   5 Adder/Subtractor(s).
437
        inferred  32 Multiplexer(s).
438
        inferred   1 Xor(s).
439
        inferred  32 Tristate(s).
440
Unit  synthesized.
441
 
442
 
443
Synthesizing Unit .
444
    Related source file is "Shifter.v".
445
WARNING:Xst:737 - Found 32-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
446
INFO:Xst:2371 - HDL ADVISOR - Logic functions respectively driving the data and gate enable inputs of this latch share common terms. This situation will potentially lead to setup/hold violations and, as a result, to simulation problems. This situation may come from an incomplete case statement (all selector values are not covered). You should carefully review if it was in your intentions to describe such a latch.
447
    Found 32-bit tristate buffer for signal .
448
    Found 32-bit shifter logical left for signal  created at line 19.
449
    Found 32-bit shifter logical right for signal  created at line 20.
450
    Summary:
451
        inferred   2 Combinational logic shifter(s).
452
        inferred  32 Tristate(s).
453
Unit  synthesized.
454
 
455
 
456
Synthesizing Unit .
457
    Related source file is "EX_Stage.v".
458
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
459
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
460
    Found 4-bit tristate buffer for signal .
461
    Found 32-bit register for signal .
462
    Found 32-bit tristate buffer for signal .
463
    Found 4-bit register for signal .
464
    Summary:
465
        inferred  36 D-type flip-flop(s).
466
        inferred  36 Tristate(s).
467
Unit  synthesized.
468
 
469
 
470
Synthesizing Unit .
471
    Related source file is "FluidCore.v".
472
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
473
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
474
Unit  synthesized.
475
 
476
INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing.
477
 
478
=========================================================================
479
HDL Synthesis Report
480
 
481
Macro Statistics
482
# RAMs                                                 : 1
483
 5x6-bit dual-port RAM                                 : 1
484
# Adders/Subtractors                                   : 11
485
 1-bit adder                                           : 3
486
 2-bit adder                                           : 1
487
 32-bit adder                                          : 1
488
 32-bit adder carry out                                : 2
489
 33-bit adder                                          : 2
490
 6-bit adder                                           : 1
491
 6-bit adder carry out                                 : 1
492
# Counters                                             : 1
493
 2-bit updown counter                                  : 1
494
# Registers                                            : 51
495
 1-bit register                                        : 3
496
 10-bit register                                       : 4
497
 119-bit register                                      : 1
498
 13-bit register                                       : 26
499
 16-bit register                                       : 2
500
 32-bit register                                       : 9
501
 39-bit register                                       : 1
502
 4-bit register                                        : 2
503
 6-bit register                                        : 1
504
 73-bit register                                       : 1
505
 9-bit register                                        : 1
506
# Latches                                              : 10
507
 1-bit latch                                           : 5
508
 2-bit latch                                           : 1
509
 32-bit latch                                          : 4
510
# Multiplexers                                         : 5
511
 10-bit 4-to-1 multiplexer                             : 1
512
 13-bit 26-to-1 multiplexer                            : 1
513
 32-bit 8-to-1 multiplexer                             : 3
514
# Logic shifters                                       : 2
515
 32-bit shifter logical left                           : 1
516
 32-bit shifter logical right                          : 1
517
# Tristates                                            : 11
518
 1-bit tristate buffer                                 : 1
519
 32-bit tristate buffer                                : 5
520
 4-bit tristate buffer                                 : 2
521
 5-bit tristate buffer                                 : 1
522
 6-bit tristate buffer                                 : 2
523
# Xors                                                 : 21
524
 1-bit xor2                                            : 19
525
 1-bit xor4                                            : 1
526
 32-bit xor2                                           : 1
527
 
528
=========================================================================
529
 
530
=========================================================================
531
*                       Advanced HDL Synthesis                          *
532
=========================================================================
533
 
534
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
535
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
536
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
537
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
538
 
539
Synthesizing (advanced) Unit .
540
INFO:Xst:3218 - HDL ADVISOR - The RAM  will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines.
541
    -----------------------------------------------------------------------
542
    | ram_type           | Distributed                         |          |
543
    -----------------------------------------------------------------------
544
    | Port A                                                              |
545
    |     aspect ratio   | 5-word x 6-bit                      |          |
546
    |     clkA           | connected to signal            | rise     |
547
    |     weA            | connected to signal <_cmp_eq0000_0> | low      |
548
    |     addrA          | connected to signal       |          |
549
    |     diA            | connected to signal     |          |
550
    -----------------------------------------------------------------------
551
    | Port B                                                              |
552
    |     aspect ratio   | 5-word x 6-bit                      |          |
553
    |     addrB          | connected to signal       |          |
554
    |     doB            | connected to internal node          |          |
555
    -----------------------------------------------------------------------
556
Unit  synthesized (advanced).
557
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
558
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
559
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
560
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
561
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
562
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
563
 
564
=========================================================================
565
Advanced HDL Synthesis Report
566
 
567
Macro Statistics
568
# RAMs                                                 : 1
569
 5x6-bit dual-port distributed RAM                     : 1
570
# Adders/Subtractors                                   : 11
571
 1-bit adder                                           : 3
572
 2-bit adder                                           : 1
573
 32-bit adder                                          : 1
574
 32-bit adder carry out                                : 2
575
 33-bit adder                                          : 2
576
 6-bit adder                                           : 1
577
 6-bit adder carry out                                 : 1
578
# Counters                                             : 1
579
 2-bit updown counter                                  : 1
580
# Registers                                            : 949
581
 Flip-Flops                                            : 949
582
# Latches                                              : 10
583
 1-bit latch                                           : 5
584
 2-bit latch                                           : 1
585
 32-bit latch                                          : 4
586
# Multiplexers                                         : 70
587
 1-bit 4-to-1 multiplexer                              : 4
588
 1-bit 8-to-1 multiplexer                              : 64
589
 13-bit 26-to-1 multiplexer                            : 1
590
 32-bit 8-to-1 multiplexer                             : 1
591
# Logic shifters                                       : 2
592
 32-bit shifter logical left                           : 1
593
 32-bit shifter logical right                          : 1
594
# Xors                                                 : 21
595
 1-bit xor2                                            : 19
596
 1-bit xor4                                            : 1
597
 32-bit xor2                                           : 1
598
 
599
=========================================================================
600
 
601
=========================================================================
602
*                         Low Level Synthesis                           *
603
=========================================================================
604
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
605
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
606
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
607
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
608
WARNING:Xst:2042 - Unit FluidCore: 6 internal tristates are replaced by logic (pull-up yes): branch_target<0>, branch_target<1>, branch_target<2>, branch_target<3>, branch_target<4>, branch_target<5>.
609
WARNING:Xst:2042 - Unit EX_Stage: 36 internal tristates are replaced by logic (pull-up yes): E0<0>, E0<10>, E0<11>, E0<12>, E0<13>, E0<14>, E0<15>, E0<16>, E0<17>, E0<18>, E0<19>, E0<1>, E0<20>, E0<21>, E0<22>, E0<23>, E0<24>, E0<25>, E0<26>, E0<27>, E0<28>, E0<29>, E0<2>, E0<30>, E0<31>, E0<3>, E0<4>, E0<5>, E0<6>, E0<7>, E0<8>, E0<9>, stkFlag<0>, stkFlag<1>, stkFlag<2>, stkFlag<3>.
610
WARNING:Xst:2042 - Unit interrupt_unit: 6 internal tristates are replaced by logic (pull-up yes): vector<0>, vector<1>, vector<2>, vector<3>, vector<4>, vector<5>.
611
WARNING:Xst:2042 - Unit WB_Stage: 37 internal tristates are replaced by logic (pull-up yes): wb_data<0>, wb_data<10>, wb_data<11>, wb_data<12>, wb_data<13>, wb_data<14>, wb_data<15>, wb_data<16>, wb_data<17>, wb_data<18>, wb_data<19>, wb_data<1>, wb_data<20>, wb_data<21>, wb_data<22>, wb_data<23>, wb_data<24>, wb_data<25>, wb_data<26>, wb_data<27>, wb_data<28>, wb_data<29>, wb_data<2>, wb_data<30>, wb_data<31>, wb_data<3>, wb_data<4>, wb_data<5>, wb_data<6>, wb_data<7>, wb_data<8>, wb_data<9>, wb_dst<0>, wb_dst<1>, wb_dst<2>, wb_dst<3>, wb_dst<4>.
612
WARNING:Xst:2042 - Unit IF_Stage: 4 internal tristates are replaced by logic (pull-up yes): stkFlag<0>, stkFlag<1>, stkFlag<2>, stkFlag<3>.
613
WARNING:Xst:2042 - Unit Shifter: 32 internal tristates are replaced by logic (pull-up yes): Result<0>, Result<10>, Result<11>, Result<12>, Result<13>, Result<14>, Result<15>, Result<16>, Result<17>, Result<18>, Result<19>, Result<1>, Result<20>, Result<21>, Result<22>, Result<23>, Result<24>, Result<25>, Result<26>, Result<27>, Result<28>, Result<29>, Result<2>, Result<30>, Result<31>, Result<3>, Result<4>, Result<5>, Result<6>, Result<7>, Result<8>, Result<9>.
614
WARNING:Xst:2042 - Unit int_ALU: 32 internal tristates are replaced by logic (pull-up yes): Result<0>, Result<10>, Result<11>, Result<12>, Result<13>, Result<14>, Result<15>, Result<16>, Result<17>, Result<18>, Result<19>, Result<1>, Result<20>, Result<21>, Result<22>, Result<23>, Result<24>, Result<25>, Result<26>, Result<27>, Result<28>, Result<29>, Result<2>, Result<30>, Result<31>, Result<3>, Result<4>, Result<5>, Result<6>, Result<7>, Result<8>, Result<9>.
615
 
616
Optimizing unit  ...
617
 
618
Optimizing unit  ...
619
 
620
Optimizing unit  ...
621
 
622
Optimizing unit  ...
623
 
624
Optimizing unit  ...
625
 
626
Optimizing unit  ...
627
 
628
Optimizing unit  ...
629
 
630
Optimizing unit  ...
631
 
632
Optimizing unit  ...
633
 
634
Optimizing unit  ...
635
 
636
Optimizing unit  ...
637
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
638
WARNING:Xst:1293 - FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
639
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
640
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
641
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
642
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
643
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
644
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
645
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
646
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
647
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
648
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
649
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
650
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
651
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
652
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
653
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
654
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
655
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
656
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
657
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
658
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
659
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
660
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
661
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
662
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
663
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
664
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
665
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
666
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
667
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
668
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
669
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
670
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
671
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
672
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
673
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
674
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
675
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
676
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
677
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
678
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
679
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
680
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
681
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
682
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
683
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
684
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
685
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
686
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
687
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
688
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
689
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
690
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
691
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
692
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
693
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
694
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
695
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
696
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
697
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
698
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
699
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
700
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
701
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
702
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
703
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
704
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
705
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
706
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
707
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
708
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
709
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
710
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
711
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
712
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
713
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
714
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
715
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
716
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
717
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
718
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
719
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
720
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
721
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
722
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
723
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
724
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
725
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
726
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
727
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
728
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
729
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
730
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
731
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
732
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
733
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
734
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
735
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
736
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
737
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
738
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
739
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
740
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
741
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
742
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
743
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
744
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
745
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
746
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
747
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
748
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
749
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
750
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
751
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
752
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
753
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
754
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
755
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
756
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
757
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
758
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
759
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
760
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
761
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
762
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
763
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
764
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
765
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
766
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
767
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
768
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
769
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
770
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
771
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
772
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
773
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
774
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
775
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
776
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
777
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
778
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
779
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
780
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
781
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
782
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
783
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
784
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
785
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
786
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
787
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
788
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
789
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
790
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
791
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
792
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
793
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
794
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
795
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
796
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
797
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
798
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
799
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
800
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
801
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
802
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
803
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
804
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
805
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
806
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
807
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
808
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
809
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
810
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
811
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
812
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
813
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
814
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
815
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
816
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
817
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
818
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
819
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
820
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
821
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
822
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
823
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
824
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
825
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
826
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
827
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
828
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
829
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
830
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
831
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
832
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
833
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
834
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
835
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
836
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
837
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
838
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
839
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
840
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
841
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
842
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
843
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
844
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
845
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch  has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
846
 
847
Mapping all equations...
848
Building and optimizing final netlist ...
849
Found area constraint ratio of 100 (+ 5) on block FluidCore, actual ratio is 23.
850
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
851
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
852
 
853
Pipelining and Register Balancing Report ...
854
 
855
Processing Unit  :
856
        Register(s) EX_MEM_reg/pipeline_register_7 EX_MEM_reg/pipeline_register_8 has(ve) been forward balanced into : MEM_Stage_inst/branch12211_FRB.
857
        Register(s) EX_MEM_reg/pipeline_register_8 EX_MEM_reg/pipeline_register_7 has(ve) been forward balanced into : MEM_Stage_inst/branch1121_FRB.
858
        Register(s) MEM_Stage_inst/branch12211_FRB EX_MEM_reg/pipeline_register_6 EX_MEM_reg/pipeline_register_5 has(ve) been forward balanced into : MEM_Stage_inst/bc_cmp_eq00011_FRB.
859
        Register(s) EX_MEM_reg/pipeline_register_2 has(ve) been backward balanced into : EX_MEM_reg/pipeline_register_2_BRB0 EX_MEM_reg/pipeline_register_2_BRB1 EX_MEM_reg/pipeline_register_2_BRB2.
860
        Register(s) EX_MEM_reg/pipeline_register_3 has(ve) been backward balanced into : EX_MEM_reg/pipeline_register_3_BRB1 EX_MEM_reg/pipeline_register_3_BRB2 .
861
        Register(s) ID_EX_reg/pipeline_register_100 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_100_BRB1.
862
        Register(s) ID_EX_reg/pipeline_register_101 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_101_BRB1.
863
        Register(s) ID_EX_reg/pipeline_register_102 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_102_BRB1.
864
        Register(s) ID_EX_reg/pipeline_register_103 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_103_BRB1.
865
        Register(s) ID_EX_reg/pipeline_register_104 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_104_BRB1 ID_EX_reg/pipeline_register_104_BRB2 ID_EX_reg/pipeline_register_104_BRB3.
866
        Register(s) ID_EX_reg/pipeline_register_105 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_105_BRB1 ID_EX_reg/pipeline_register_105_BRB2 .
867
        Register(s) ID_EX_reg/pipeline_register_106 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_106_BRB1 ID_EX_reg/pipeline_register_106_BRB2 .
868
        Register(s) ID_EX_reg/pipeline_register_107 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_107_BRB1 ID_EX_reg/pipeline_register_107_BRB2.
869
        Register(s) ID_EX_reg/pipeline_register_108 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_108_BRB1 ID_EX_reg/pipeline_register_108_BRB2.
870
        Register(s) ID_EX_reg/pipeline_register_109 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_109_BRB1 ID_EX_reg/pipeline_register_109_BRB2.
871
        Register(s) ID_EX_reg/pipeline_register_110 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_110_BRB2 ID_EX_reg/pipeline_register_110_BRB3.
872
        Register(s) ID_EX_reg/pipeline_register_111 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_111_BRB0 ID_EX_reg/pipeline_register_111_BRB1 ID_EX_reg/pipeline_register_111_BRB4 ID_EX_reg/pipeline_register_111_BRB5 ID_EX_reg/pipeline_register_111_BRB6 ID_EX_reg/pipeline_register_111_BRB7 ID_EX_reg/pipeline_register_111_BRB8 .
873
        Register(s) ID_EX_reg/pipeline_register_112 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_112_BRB3.
874
        Register(s) ID_EX_reg/pipeline_register_113 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_113_BRB0 ID_EX_reg/pipeline_register_113_BRB1 ID_EX_reg/pipeline_register_113_BRB2 ID_EX_reg/pipeline_register_113_BRB4 ID_EX_reg/pipeline_register_113_BRB5 ID_EX_reg/pipeline_register_113_BRB6 ID_EX_reg/pipeline_register_113_BRB7 ID_EX_reg/pipeline_register_113_BRB8 ID_EX_reg/pipeline_register_113_BRB9 ID_EX_reg/pipeline_register_113_BRB10 ID_EX_reg/pipeline_register_113_BRB11.
875
        Register(s) ID_EX_reg/pipeline_register_114 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_114_BRB0 ID_EX_reg/pipeline_register_114_BRB1 ID_EX_reg/pipeline_register_114_BRB2.
876
        Register(s) ID_EX_reg/pipeline_register_115 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_115_BRB0 ID_EX_reg/pipeline_register_115_BRB1 ID_EX_reg/pipeline_register_115_BRB2 .
877
        Register(s) ID_EX_reg/pipeline_register_116 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_116_BRB1 ID_EX_reg/pipeline_register_116_BRB2 .
878
        Register(s) ID_EX_reg/pipeline_register_117 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_117_BRB0 .
879
        Register(s) ID_EX_reg/pipeline_register_14 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_14_BRB0 .
880
        Register(s) ID_EX_reg/pipeline_register_2 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_2_BRB0 ID_EX_reg/pipeline_register_2_BRB1 ID_EX_reg/pipeline_register_2_BRB4 .
881
        Register(s) ID_EX_reg/pipeline_register_3 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_3_BRB1 ID_EX_reg/pipeline_register_3_BRB2 .
882
        Register(s) ID_EX_reg/pipeline_register_46 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_46_BRB0 ID_EX_reg/pipeline_register_46_BRB1.
883
        Register(s) ID_EX_reg/pipeline_register_47 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_47_BRB1.
884
        Register(s) ID_EX_reg/pipeline_register_48 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_48_BRB1.
885
        Register(s) ID_EX_reg/pipeline_register_49 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_49_BRB1.
886
        Register(s) ID_EX_reg/pipeline_register_50 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_50_BRB1.
887
        Register(s) ID_EX_reg/pipeline_register_51 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_51_BRB1.
888
        Register(s) ID_EX_reg/pipeline_register_52 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_52_BRB1.
889
        Register(s) ID_EX_reg/pipeline_register_53 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_53_BRB1.
890
        Register(s) ID_EX_reg/pipeline_register_54 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_54_BRB1.
891
        Register(s) ID_EX_reg/pipeline_register_55 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_55_BRB1.
892
        Register(s) ID_EX_reg/pipeline_register_56 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_56_BRB1.
893
        Register(s) ID_EX_reg/pipeline_register_57 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_57_BRB1.
894
        Register(s) ID_EX_reg/pipeline_register_58 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_58_BRB1.
895
        Register(s) ID_EX_reg/pipeline_register_59 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_59_BRB1.
896
        Register(s) ID_EX_reg/pipeline_register_60 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_60_BRB1.
897
        Register(s) ID_EX_reg/pipeline_register_61 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_61_BRB1.
898
        Register(s) ID_EX_reg/pipeline_register_62 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_62_BRB1.
899
        Register(s) ID_EX_reg/pipeline_register_63 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_63_BRB1.
900
        Register(s) ID_EX_reg/pipeline_register_64 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_64_BRB1.
901
        Register(s) ID_EX_reg/pipeline_register_65 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_65_BRB1.
902
        Register(s) ID_EX_reg/pipeline_register_66 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_66_BRB1.
903
        Register(s) ID_EX_reg/pipeline_register_67 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_67_BRB1.
904
        Register(s) ID_EX_reg/pipeline_register_68 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_68_BRB1.
905
        Register(s) ID_EX_reg/pipeline_register_69 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_69_BRB1.
906
        Register(s) ID_EX_reg/pipeline_register_70 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_70_BRB1.
907
        Register(s) ID_EX_reg/pipeline_register_71 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_71_BRB1.
908
        Register(s) ID_EX_reg/pipeline_register_72 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_72_BRB1.
909
        Register(s) ID_EX_reg/pipeline_register_73 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_73_BRB1.
910
        Register(s) ID_EX_reg/pipeline_register_74 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_74_BRB1.
911
        Register(s) ID_EX_reg/pipeline_register_75 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_75_BRB1.
912
        Register(s) ID_EX_reg/pipeline_register_76 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_76_BRB1.
913
        Register(s) ID_EX_reg/pipeline_register_77 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_77_BRB1.
914
        Register(s) ID_EX_reg/pipeline_register_78 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_78_BRB0 ID_EX_reg/pipeline_register_78_BRB1.
915
        Register(s) ID_EX_reg/pipeline_register_79 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_79_BRB1.
916
        Register(s) ID_EX_reg/pipeline_register_80 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_80_BRB1.
917
        Register(s) ID_EX_reg/pipeline_register_81 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_81_BRB1.
918
        Register(s) ID_EX_reg/pipeline_register_82 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_82_BRB1.
919
        Register(s) ID_EX_reg/pipeline_register_83 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_83_BRB1.
920
        Register(s) ID_EX_reg/pipeline_register_84 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_84_BRB1.
921
        Register(s) ID_EX_reg/pipeline_register_85 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_85_BRB1.
922
        Register(s) ID_EX_reg/pipeline_register_86 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_86_BRB1.
923
        Register(s) ID_EX_reg/pipeline_register_87 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_87_BRB1.
924
        Register(s) ID_EX_reg/pipeline_register_88 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_88_BRB1.
925
        Register(s) ID_EX_reg/pipeline_register_89 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_89_BRB1.
926
        Register(s) ID_EX_reg/pipeline_register_90 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_90_BRB1.
927
        Register(s) ID_EX_reg/pipeline_register_91 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_91_BRB1.
928
        Register(s) ID_EX_reg/pipeline_register_92 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_92_BRB1.
929
        Register(s) ID_EX_reg/pipeline_register_93 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_93_BRB1.
930
        Register(s) ID_EX_reg/pipeline_register_94 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_94_BRB1.
931
        Register(s) ID_EX_reg/pipeline_register_95 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_95_BRB1.
932
        Register(s) ID_EX_reg/pipeline_register_96 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_96_BRB1.
933
        Register(s) ID_EX_reg/pipeline_register_97 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_97_BRB1.
934
        Register(s) ID_EX_reg/pipeline_register_98 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_98_BRB1.
935
        Register(s) ID_EX_reg/pipeline_register_99 has(ve) been backward balanced into : ID_EX_reg/pipeline_register_99_BRB1.
936
        Register(s) ID_Stage_inst/buff_op_a_0 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_0_BRB1 ID_Stage_inst/buff_op_a_0_BRB2 ID_Stage_inst/buff_op_a_0_BRB7 ID_Stage_inst/buff_op_a_0_BRB8 ID_Stage_inst/buff_op_a_0_BRB9 ID_Stage_inst/buff_op_a_0_BRB10 ID_Stage_inst/buff_op_a_0_BRB11 ID_Stage_inst/buff_op_a_0_BRB12.
937
        Register(s) ID_Stage_inst/buff_op_a_1 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_1_BRB1 ID_Stage_inst/buff_op_a_1_BRB2 ID_Stage_inst/buff_op_a_1_BRB7 ID_Stage_inst/buff_op_a_1_BRB8 ID_Stage_inst/buff_op_a_1_BRB9 ID_Stage_inst/buff_op_a_1_BRB10 ID_Stage_inst/buff_op_a_1_BRB11 ID_Stage_inst/buff_op_a_1_BRB12.
938
        Register(s) ID_Stage_inst/buff_op_a_10 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_10_BRB1 ID_Stage_inst/buff_op_a_10_BRB2 ID_Stage_inst/buff_op_a_10_BRB7 ID_Stage_inst/buff_op_a_10_BRB8 ID_Stage_inst/buff_op_a_10_BRB9 ID_Stage_inst/buff_op_a_10_BRB10 ID_Stage_inst/buff_op_a_10_BRB11 ID_Stage_inst/buff_op_a_10_BRB12.
939
        Register(s) ID_Stage_inst/buff_op_a_11 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_11_BRB1 ID_Stage_inst/buff_op_a_11_BRB2 ID_Stage_inst/buff_op_a_11_BRB7 ID_Stage_inst/buff_op_a_11_BRB8 ID_Stage_inst/buff_op_a_11_BRB9 ID_Stage_inst/buff_op_a_11_BRB10 ID_Stage_inst/buff_op_a_11_BRB11 ID_Stage_inst/buff_op_a_11_BRB12.
940
        Register(s) ID_Stage_inst/buff_op_a_12 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_12_BRB1 ID_Stage_inst/buff_op_a_12_BRB2 ID_Stage_inst/buff_op_a_12_BRB7 ID_Stage_inst/buff_op_a_12_BRB8 ID_Stage_inst/buff_op_a_12_BRB9 ID_Stage_inst/buff_op_a_12_BRB10 ID_Stage_inst/buff_op_a_12_BRB11 ID_Stage_inst/buff_op_a_12_BRB12.
941
        Register(s) ID_Stage_inst/buff_op_a_13 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_13_BRB1 ID_Stage_inst/buff_op_a_13_BRB2 ID_Stage_inst/buff_op_a_13_BRB7 ID_Stage_inst/buff_op_a_13_BRB8 ID_Stage_inst/buff_op_a_13_BRB9 ID_Stage_inst/buff_op_a_13_BRB10 ID_Stage_inst/buff_op_a_13_BRB11 ID_Stage_inst/buff_op_a_13_BRB12.
942
        Register(s) ID_Stage_inst/buff_op_a_14 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_14_BRB1 ID_Stage_inst/buff_op_a_14_BRB2 ID_Stage_inst/buff_op_a_14_BRB7 ID_Stage_inst/buff_op_a_14_BRB8 ID_Stage_inst/buff_op_a_14_BRB9 ID_Stage_inst/buff_op_a_14_BRB10 ID_Stage_inst/buff_op_a_14_BRB11 ID_Stage_inst/buff_op_a_14_BRB12.
943
        Register(s) ID_Stage_inst/buff_op_a_15 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_15_BRB1 ID_Stage_inst/buff_op_a_15_BRB2 ID_Stage_inst/buff_op_a_15_BRB7 ID_Stage_inst/buff_op_a_15_BRB8 ID_Stage_inst/buff_op_a_15_BRB9 ID_Stage_inst/buff_op_a_15_BRB10 ID_Stage_inst/buff_op_a_15_BRB11 ID_Stage_inst/buff_op_a_15_BRB12.
944
        Register(s) ID_Stage_inst/buff_op_a_16 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_16_BRB1 ID_Stage_inst/buff_op_a_16_BRB2 ID_Stage_inst/buff_op_a_16_BRB7 ID_Stage_inst/buff_op_a_16_BRB8 ID_Stage_inst/buff_op_a_16_BRB9 ID_Stage_inst/buff_op_a_16_BRB10 ID_Stage_inst/buff_op_a_16_BRB11 ID_Stage_inst/buff_op_a_16_BRB12.
945
        Register(s) ID_Stage_inst/buff_op_a_17 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_17_BRB1 ID_Stage_inst/buff_op_a_17_BRB2 ID_Stage_inst/buff_op_a_17_BRB7 ID_Stage_inst/buff_op_a_17_BRB8 ID_Stage_inst/buff_op_a_17_BRB9 ID_Stage_inst/buff_op_a_17_BRB10 ID_Stage_inst/buff_op_a_17_BRB11 ID_Stage_inst/buff_op_a_17_BRB12.
946
        Register(s) ID_Stage_inst/buff_op_a_18 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_18_BRB1 ID_Stage_inst/buff_op_a_18_BRB2 ID_Stage_inst/buff_op_a_18_BRB7 ID_Stage_inst/buff_op_a_18_BRB8 ID_Stage_inst/buff_op_a_18_BRB9 ID_Stage_inst/buff_op_a_18_BRB10 ID_Stage_inst/buff_op_a_18_BRB11 ID_Stage_inst/buff_op_a_18_BRB12.
947
        Register(s) ID_Stage_inst/buff_op_a_19 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_19_BRB1 ID_Stage_inst/buff_op_a_19_BRB2 ID_Stage_inst/buff_op_a_19_BRB7 ID_Stage_inst/buff_op_a_19_BRB8 ID_Stage_inst/buff_op_a_19_BRB9 ID_Stage_inst/buff_op_a_19_BRB10 ID_Stage_inst/buff_op_a_19_BRB11 ID_Stage_inst/buff_op_a_19_BRB12.
948
        Register(s) ID_Stage_inst/buff_op_a_2 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_2_BRB1 ID_Stage_inst/buff_op_a_2_BRB2 ID_Stage_inst/buff_op_a_2_BRB7 ID_Stage_inst/buff_op_a_2_BRB8 ID_Stage_inst/buff_op_a_2_BRB9 ID_Stage_inst/buff_op_a_2_BRB10 ID_Stage_inst/buff_op_a_2_BRB11 ID_Stage_inst/buff_op_a_2_BRB12.
949
        Register(s) ID_Stage_inst/buff_op_a_20 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_20_BRB1 ID_Stage_inst/buff_op_a_20_BRB2 ID_Stage_inst/buff_op_a_20_BRB7 ID_Stage_inst/buff_op_a_20_BRB8 ID_Stage_inst/buff_op_a_20_BRB9 ID_Stage_inst/buff_op_a_20_BRB10 ID_Stage_inst/buff_op_a_20_BRB11 ID_Stage_inst/buff_op_a_20_BRB12.
950
        Register(s) ID_Stage_inst/buff_op_a_21 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_21_BRB1 ID_Stage_inst/buff_op_a_21_BRB2 ID_Stage_inst/buff_op_a_21_BRB7 ID_Stage_inst/buff_op_a_21_BRB8 ID_Stage_inst/buff_op_a_21_BRB9 ID_Stage_inst/buff_op_a_21_BRB10 ID_Stage_inst/buff_op_a_21_BRB11 ID_Stage_inst/buff_op_a_21_BRB12.
951
        Register(s) ID_Stage_inst/buff_op_a_22 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_22_BRB1 ID_Stage_inst/buff_op_a_22_BRB2 ID_Stage_inst/buff_op_a_22_BRB7 ID_Stage_inst/buff_op_a_22_BRB8 ID_Stage_inst/buff_op_a_22_BRB9 ID_Stage_inst/buff_op_a_22_BRB10 ID_Stage_inst/buff_op_a_22_BRB11 ID_Stage_inst/buff_op_a_22_BRB12.
952
        Register(s) ID_Stage_inst/buff_op_a_23 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_23_BRB1 ID_Stage_inst/buff_op_a_23_BRB2 ID_Stage_inst/buff_op_a_23_BRB7 ID_Stage_inst/buff_op_a_23_BRB8 ID_Stage_inst/buff_op_a_23_BRB9 ID_Stage_inst/buff_op_a_23_BRB10 ID_Stage_inst/buff_op_a_23_BRB11 ID_Stage_inst/buff_op_a_23_BRB12.
953
        Register(s) ID_Stage_inst/buff_op_a_24 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_24_BRB1 ID_Stage_inst/buff_op_a_24_BRB2 ID_Stage_inst/buff_op_a_24_BRB7 ID_Stage_inst/buff_op_a_24_BRB8 ID_Stage_inst/buff_op_a_24_BRB9 ID_Stage_inst/buff_op_a_24_BRB10 ID_Stage_inst/buff_op_a_24_BRB11 ID_Stage_inst/buff_op_a_24_BRB12.
954
        Register(s) ID_Stage_inst/buff_op_a_25 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_25_BRB1 ID_Stage_inst/buff_op_a_25_BRB2 ID_Stage_inst/buff_op_a_25_BRB7 ID_Stage_inst/buff_op_a_25_BRB8 ID_Stage_inst/buff_op_a_25_BRB9 ID_Stage_inst/buff_op_a_25_BRB10 ID_Stage_inst/buff_op_a_25_BRB11 ID_Stage_inst/buff_op_a_25_BRB12.
955
        Register(s) ID_Stage_inst/buff_op_a_26 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_26_BRB1 ID_Stage_inst/buff_op_a_26_BRB2 ID_Stage_inst/buff_op_a_26_BRB7 ID_Stage_inst/buff_op_a_26_BRB8 ID_Stage_inst/buff_op_a_26_BRB9 ID_Stage_inst/buff_op_a_26_BRB10 ID_Stage_inst/buff_op_a_26_BRB11 ID_Stage_inst/buff_op_a_26_BRB12.
956
        Register(s) ID_Stage_inst/buff_op_a_27 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_27_BRB1 ID_Stage_inst/buff_op_a_27_BRB2 ID_Stage_inst/buff_op_a_27_BRB7 ID_Stage_inst/buff_op_a_27_BRB8 ID_Stage_inst/buff_op_a_27_BRB9 ID_Stage_inst/buff_op_a_27_BRB10 ID_Stage_inst/buff_op_a_27_BRB11 ID_Stage_inst/buff_op_a_27_BRB12.
957
        Register(s) ID_Stage_inst/buff_op_a_28 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_28_BRB1 ID_Stage_inst/buff_op_a_28_BRB2 ID_Stage_inst/buff_op_a_28_BRB7 ID_Stage_inst/buff_op_a_28_BRB8 ID_Stage_inst/buff_op_a_28_BRB9 ID_Stage_inst/buff_op_a_28_BRB10 ID_Stage_inst/buff_op_a_28_BRB11 ID_Stage_inst/buff_op_a_28_BRB12.
958
        Register(s) ID_Stage_inst/buff_op_a_29 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_29_BRB1 ID_Stage_inst/buff_op_a_29_BRB2 ID_Stage_inst/buff_op_a_29_BRB7 ID_Stage_inst/buff_op_a_29_BRB8 ID_Stage_inst/buff_op_a_29_BRB9 ID_Stage_inst/buff_op_a_29_BRB10 ID_Stage_inst/buff_op_a_29_BRB11 ID_Stage_inst/buff_op_a_29_BRB12.
959
        Register(s) ID_Stage_inst/buff_op_a_3 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_3_BRB1 ID_Stage_inst/buff_op_a_3_BRB2 ID_Stage_inst/buff_op_a_3_BRB7 ID_Stage_inst/buff_op_a_3_BRB8 ID_Stage_inst/buff_op_a_3_BRB9 ID_Stage_inst/buff_op_a_3_BRB10 ID_Stage_inst/buff_op_a_3_BRB11 ID_Stage_inst/buff_op_a_3_BRB12.
960
        Register(s) ID_Stage_inst/buff_op_a_30 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_30_BRB1 ID_Stage_inst/buff_op_a_30_BRB2 ID_Stage_inst/buff_op_a_30_BRB7 ID_Stage_inst/buff_op_a_30_BRB8 ID_Stage_inst/buff_op_a_30_BRB9 ID_Stage_inst/buff_op_a_30_BRB10 ID_Stage_inst/buff_op_a_30_BRB11 ID_Stage_inst/buff_op_a_30_BRB12.
961
        Register(s) ID_Stage_inst/buff_op_a_31 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_31_BRB2 ID_Stage_inst/buff_op_a_31_BRB4 ID_Stage_inst/buff_op_a_31_BRB5 ID_Stage_inst/buff_op_a_31_BRB6 ID_Stage_inst/buff_op_a_31_BRB7 ID_Stage_inst/buff_op_a_31_BRB8 ID_Stage_inst/buff_op_a_31_BRB9 ID_Stage_inst/buff_op_a_31_BRB10 ID_Stage_inst/buff_op_a_31_BRB11 ID_Stage_inst/buff_op_a_31_BRB12 ID_Stage_inst/buff_op_a_31_BRB13 ID_Stage_inst/buff_op_a_31_BRB14 ID_Stage_inst/buff_op_a_31_BRB15 ID_Stage_inst/buff_op_a_31_BRB16 ID_Stage_inst/buff_op_a_31_BRB18 ID_Stage_inst/buff_op_a_31_BRB19 .
962
        Register(s) ID_Stage_inst/buff_op_a_4 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_4_BRB1 ID_Stage_inst/buff_op_a_4_BRB2 ID_Stage_inst/buff_op_a_4_BRB7 ID_Stage_inst/buff_op_a_4_BRB8 ID_Stage_inst/buff_op_a_4_BRB9 ID_Stage_inst/buff_op_a_4_BRB10 ID_Stage_inst/buff_op_a_4_BRB11 ID_Stage_inst/buff_op_a_4_BRB12.
963
        Register(s) ID_Stage_inst/buff_op_a_5 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_5_BRB1 ID_Stage_inst/buff_op_a_5_BRB2 ID_Stage_inst/buff_op_a_5_BRB7 ID_Stage_inst/buff_op_a_5_BRB8 ID_Stage_inst/buff_op_a_5_BRB9 ID_Stage_inst/buff_op_a_5_BRB10 ID_Stage_inst/buff_op_a_5_BRB11 ID_Stage_inst/buff_op_a_5_BRB12.
964
        Register(s) ID_Stage_inst/buff_op_a_6 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_6_BRB1 ID_Stage_inst/buff_op_a_6_BRB2 ID_Stage_inst/buff_op_a_6_BRB7 ID_Stage_inst/buff_op_a_6_BRB8 ID_Stage_inst/buff_op_a_6_BRB9 ID_Stage_inst/buff_op_a_6_BRB10 ID_Stage_inst/buff_op_a_6_BRB11 ID_Stage_inst/buff_op_a_6_BRB12.
965
        Register(s) ID_Stage_inst/buff_op_a_7 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_7_BRB1 ID_Stage_inst/buff_op_a_7_BRB2 ID_Stage_inst/buff_op_a_7_BRB7 ID_Stage_inst/buff_op_a_7_BRB8 ID_Stage_inst/buff_op_a_7_BRB9 ID_Stage_inst/buff_op_a_7_BRB10 ID_Stage_inst/buff_op_a_7_BRB11 ID_Stage_inst/buff_op_a_7_BRB12.
966
        Register(s) ID_Stage_inst/buff_op_a_8 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_8_BRB1 ID_Stage_inst/buff_op_a_8_BRB2 ID_Stage_inst/buff_op_a_8_BRB7 ID_Stage_inst/buff_op_a_8_BRB8 ID_Stage_inst/buff_op_a_8_BRB9 ID_Stage_inst/buff_op_a_8_BRB10 ID_Stage_inst/buff_op_a_8_BRB11 ID_Stage_inst/buff_op_a_8_BRB12.
967
        Register(s) ID_Stage_inst/buff_op_a_9 has(ve) been backward balanced into : ID_Stage_inst/buff_op_a_9_BRB1 ID_Stage_inst/buff_op_a_9_BRB2 ID_Stage_inst/buff_op_a_9_BRB7 ID_Stage_inst/buff_op_a_9_BRB8 ID_Stage_inst/buff_op_a_9_BRB9 ID_Stage_inst/buff_op_a_9_BRB10 ID_Stage_inst/buff_op_a_9_BRB11 ID_Stage_inst/buff_op_a_9_BRB12.
968
        Register(s) ID_Stage_inst/buff_op_b_31 has(ve) been backward balanced into : ID_Stage_inst/buff_op_b_31_BRB1 ID_Stage_inst/buff_op_b_31_BRB2 ID_Stage_inst/buff_op_b_31_BRB8 ID_Stage_inst/buff_op_b_31_BRB10 .
969
        Register(s) Staller_inst/bubble_reg_4 has(ve) been backward balanced into : Staller_inst/bubble_reg_4_BRB0 Staller_inst/bubble_reg_4_BRB1 Staller_inst/bubble_reg_4_BRB2 Staller_inst/bubble_reg_4_BRB3.
970
        Register(s) Staller_inst/bubble_reg_5 has(ve) been backward balanced into : Staller_inst/bubble_reg_5_BRB1 .
971
        Register(s) Staller_inst/bubble_reg_6 has(ve) been backward balanced into : Staller_inst/bubble_reg_6_BRB1 .
972
        Register(s) Staller_inst/bubble_reg_7 has(ve) been backward balanced into : Staller_inst/bubble_reg_7_BRB1 .
973
        Register(s) Staller_inst/bubble_reg_8 has(ve) been backward balanced into : Staller_inst/bubble_reg_8_BRB1 .
974
        Register(s) Staller_inst/stall_reg has(ve) been backward balanced into : Staller_inst/stall_reg_BRB0 Staller_inst/stall_reg_BRB1 Staller_inst/stall_reg_BRB2 Staller_inst/stall_reg_BRB5 Staller_inst/stall_reg_BRB6 Staller_inst/stall_reg_BRB7 Staller_inst/stall_reg_BRB8 Staller_inst/stall_reg_BRB9 Staller_inst/stall_reg_BRB10.
975
Unit  processed.
976
Replicating register EX_MEM_reg/pipeline_register_37 to handle IOB=TRUE attribute
977
Replicating register EX_MEM_reg/pipeline_register_38 to handle IOB=TRUE attribute
978
Replicating register EX_MEM_reg/pipeline_register_39 to handle IOB=TRUE attribute
979
Replicating register EX_MEM_reg/pipeline_register_40 to handle IOB=TRUE attribute
980
Replicating register EX_MEM_reg/pipeline_register_69 to handle IOB=TRUE attribute
981
Replicating register EX_MEM_reg/pipeline_register_70 to handle IOB=TRUE attribute
982
Replicating register EX_MEM_reg/pipeline_register_71 to handle IOB=TRUE attribute
983
Replicating register EX_MEM_reg/pipeline_register_72 to handle IOB=TRUE attribute
984
Replicating register IF_Stage_inst/PC_0 to handle IOB=TRUE attribute
985
Replicating register IF_Stage_inst/PC_1 to handle IOB=TRUE attribute
986
Replicating register IF_Stage_inst/PC_2 to handle IOB=TRUE attribute
987
Replicating register IF_Stage_inst/PC_3 to handle IOB=TRUE attribute
988
Replicating register IF_Stage_inst/PC_4 to handle IOB=TRUE attribute
989
Replicating register IF_Stage_inst/PC_5 to handle IOB=TRUE attribute
990
 
991
FlipFlop ID_EX_reg/pipeline_register_118 has been replicated 1 time(s)
992
FlipFlop IF_ID_reg/pipeline_register_2 has been replicated 1 time(s)
993
FlipFlop IF_ID_reg/pipeline_register_3 has been replicated 2 time(s)
994
FlipFlop IF_Stage_inst/PCStackPtr_0 has been replicated 1 time(s)
995
 
996
Final Macro Processing ...
997
 
998
=========================================================================
999
Final Register Report
1000
 
1001
Macro Statistics
1002
# Registers                                            : 757
1003
 Flip-Flops                                            : 757
1004
 
1005
=========================================================================
1006
 
1007
=========================================================================
1008
*                           Partition Report                            *
1009
=========================================================================
1010
 
1011
Partition Implementation Status
1012
-------------------------------
1013
 
1014
  No Partitions were found in this design.
1015
 
1016
-------------------------------
1017
 
1018
=========================================================================
1019
*                            Final Report                               *
1020
=========================================================================
1021
Final Results
1022
RTL Top Level Output File Name     : FluidCore.ngr
1023
Top Level Output File Name         : FluidCore
1024
Output Format                      : NGC
1025
Optimization Goal                  : Speed
1026
Keep Hierarchy                     : No
1027
 
1028
Design Statistics
1029
# IOs                              : 66
1030
 
1031
Cell Usage :
1032
# BELS                             : 2562
1033
#      GND                         : 1
1034
#      INV                         : 11
1035
#      LUT1                        : 79
1036
#      LUT2                        : 91
1037
#      LUT2_D                      : 1
1038
#      LUT2_L                      : 5
1039
#      LUT3                        : 838
1040
#      LUT3_D                      : 1
1041
#      LUT3_L                      : 5
1042
#      LUT4                        : 796
1043
#      LUT4_D                      : 11
1044
#      LUT4_L                      : 7
1045
#      MUXCY                       : 174
1046
#      MUXF5                       : 312
1047
#      MUXF6                       : 54
1048
#      MUXF7                       : 13
1049
#      VCC                         : 1
1050
#      XORCY                       : 162
1051
# FlipFlops/Latches                : 1096
1052
#      FD                          : 44
1053
#      FDE                         : 502
1054
#      FDR                         : 38
1055
#      FDRE                        : 171
1056
#      FDSE                        : 2
1057
#      LD                          : 68
1058
#      LD_1                        : 268
1059
#      LDC                         : 1
1060
#      LDCP                        : 2
1061
# RAMS                             : 6
1062
#      RAM16X1D                    : 6
1063
# Clock Buffers                    : 5
1064
#      BUFG                        : 5
1065
# IO Buffers                       : 66
1066
#      IBUF                        : 22
1067
#      IOBUF                       : 32
1068
#      OBUF                        : 11
1069
#      OBUFT                       : 1
1070
=========================================================================
1071
 
1072
Device utilization summary:
1073
---------------------------
1074
 
1075
Selected Device : 3s500efg320-4
1076
 
1077
 Number of Slices:                     1057  out of   4656    22%
1078
 Number of Slice Flip Flops:           1043  out of   9312    11%
1079
 Number of 4 input LUTs:               1857  out of   9312    19%
1080
    Number used as logic:              1845
1081
    Number used as RAMs:                 12
1082
 Number of IOs:                          66
1083
 Number of bonded IOBs:                  66  out of    232    28%
1084
    IOB Flip Flops:                      53
1085
 Number of GCLKs:                         5  out of     24    20%
1086
 
1087
---------------------------
1088
Partition Resource Summary:
1089
---------------------------
1090
 
1091
  No Partitions were found in this design.
1092
 
1093
---------------------------
1094
 
1095
 
1096
=========================================================================
1097
TIMING REPORT
1098
 
1099
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
1100
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
1101
      GENERATED AFTER PLACE-and-ROUTE.
1102
 
1103
Clock Information:
1104
------------------
1105
----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------+-------+
1106
Clock Signal                                                                                                    | Clock buffer(FF name)                                   | Load  |
1107
----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------+-------+
1108
MEM_Stage_inst/ret_cmp_eq0000(MEM_Stage_inst/ret_cmp_eq00001:O)                                                 | NONE(*)(MEM_Stage_inst/ret)                             | 1     |
1109
Clk                                                                                                             | IBUF+BUFG                                               | 741   |
1110
EX_Stage_inst/barrel_shifter_inst/Result_buff_not00021(EX_Stage_inst/barrel_shifter_inst/Result_buff_not00021:O)| BUFG(*)(EX_Stage_inst/barrel_shifter_inst/Result_buff_0)| 32    |
1111
EX_Stage_inst/int_ALU_inst/en1(EX_Stage_inst/int_ALU_inst/en1:O)                                                | BUFG(*)(EX_Stage_inst/int_ALU_inst/Z)                   | 36    |
1112
EX_MEM_reg/Clk_RST1(Staller_inst/Clk_RST1:O)                                                                    | BUFG(*)(IF_ID_reg/pipeline_register_0)                  | 290   |
1113
Interrupt<3>                                                                                                    | IBUF+BUFG                                               | 2     |
1114
----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------+-------+
1115
(*) These 4 clock signal(s) are generated by combinatorial logic,
1116
and XST is not able to identify which are the primary clock signals.
1117
Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic.
1118
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
1119
 
1120
Asynchronous Control Signals Information:
1121
----------------------------------------
1122
----------------------------------------------------------------------------------+-------------------------------------+-------+
1123
Control Signal                                                                    | Buffer(FF name)                     | Load  |
1124
----------------------------------------------------------------------------------+-------------------------------------+-------+
1125
Interrupt<1>                                                                      | IBUF                                | 1     |
1126
Interrupt<2>                                                                      | IBUF                                | 1     |
1127
MEM_Stage_inst/ret_0_not0000(fw_c_211:O)                                          | NONE(MEM_Stage_inst/ret)            | 1     |
1128
interrupt_unit_inst/vctr_inx_0__or0000(interrupt_unit_inst/vctr_inx_0__or00001:O) | NONE(interrupt_unit_inst/vctr_inx_0)| 1     |
1129
interrupt_unit_inst/vctr_inx_1__or0000(interrupt_unit_inst/vctr_inx_Q_1_or00001:O)| NONE(interrupt_unit_inst/vctr_inx_1)| 1     |
1130
----------------------------------------------------------------------------------+-------------------------------------+-------+
1131
 
1132
Timing Summary:
1133
---------------
1134
Speed Grade: -4
1135
 
1136
   Minimum period: 7.402ns (Maximum Frequency: 135.099MHz)
1137
   Minimum input arrival time before clock: 8.336ns
1138
   Maximum output required time after clock: 7.270ns
1139
   Maximum combinational path delay: 6.878ns
1140
 
1141
Timing Detail:
1142
--------------
1143
All values displayed in nanoseconds (ns)
1144
 
1145
=========================================================================
1146
Timing constraint: Default period analysis for Clock 'Clk'
1147
  Clock period: 7.402ns (frequency: 135.099MHz)
1148
  Total number of paths / destination ports: 1285 / 379
1149
-------------------------------------------------------------------------
1150
Delay:               7.402ns (Levels of Logic = 4)
1151
  Source:            interrupt_unit_inst/masks_1 (FF)
1152
  Destination:       IF_Stage_inst/PCStack_1_0 (FF)
1153
  Source Clock:      Clk rising
1154
  Destination Clock: Clk rising
1155
 
1156
  Data Path: interrupt_unit_inst/masks_1 to IF_Stage_inst/PCStack_1_0
1157
                                Gate     Net
1158
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1159
    ----------------------------------------  ------------
1160
     FDE:C->Q              1   0.591   0.455  interrupt_unit_inst/masks_1 (interrupt_unit_inst/masks_1)
1161
     LUT4_D:I2->O          2   0.704   0.482  interrupt_unit_inst/intr4 (interrupt_unit_inst/intr4)
1162
     LUT3:I2->O           17   0.704   1.055  interrupt_unit_inst/intr18 (intr)
1163
     LUT4_D:I3->O          3   0.704   0.566  IF_Stage_inst/PCStack_0_not00012 (N60)
1164
     LUT3:I2->O           10   0.704   0.882  IF_Stage_inst/PCStack_2_not00011 (IF_Stage_inst/PCStack_2_not0001)
1165
     FDE:CE                    0.555          IF_Stage_inst/PCStack_2_9
1166
    ----------------------------------------
1167
    Total                      7.402ns (3.962ns logic, 3.440ns route)
1168
                                       (53.5% logic, 46.5% route)
1169
 
1170
=========================================================================
1171
Timing constraint: Default period analysis for Clock 'EX_Stage_inst/int_ALU_inst/en1'
1172
  Clock period: 3.624ns (frequency: 275.938MHz)
1173
  Total number of paths / destination ports: 69 / 36
1174
-------------------------------------------------------------------------
1175
Delay:               3.624ns (Levels of Logic = 2)
1176
  Source:            EX_Stage_inst/int_ALU_inst/C (LATCH)
1177
  Destination:       EX_Stage_inst/int_ALU_inst/C (LATCH)
1178
  Source Clock:      EX_Stage_inst/int_ALU_inst/en1 falling
1179
  Destination Clock: EX_Stage_inst/int_ALU_inst/en1 falling
1180
 
1181
  Data Path: EX_Stage_inst/int_ALU_inst/C to EX_Stage_inst/int_ALU_inst/C
1182
                                Gate     Net
1183
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1184
    ----------------------------------------  ------------
1185
     LD:G->Q               5   0.676   0.808  EX_Stage_inst/int_ALU_inst/C (EX_Stage_inst/int_ALU_inst/C)
1186
     LUT4:I0->O            1   0.704   0.424  EX_Stage_inst/int_ALU_inst/C_mux000017 (EX_Stage_inst/int_ALU_inst/C_mux000017)
1187
     LUT4:I3->O            1   0.704   0.000  EX_Stage_inst/int_ALU_inst/C_mux000085 (EX_Stage_inst/int_ALU_inst/C_mux0000)
1188
     LD:D                      0.308          EX_Stage_inst/int_ALU_inst/C
1189
    ----------------------------------------
1190
    Total                      3.624ns (2.392ns logic, 1.232ns route)
1191
                                       (66.0% logic, 34.0% route)
1192
 
1193
=========================================================================
1194
Timing constraint: Default period analysis for Clock 'EX_MEM_reg/Clk_RST1'
1195
  Clock period: 7.090ns (frequency: 141.044MHz)
1196
  Total number of paths / destination ports: 4974 / 619
1197
-------------------------------------------------------------------------
1198
Delay:               7.090ns (Levels of Logic = 7)
1199
  Source:            IF_ID_reg/pipeline_register_3_2 (FF)
1200
  Destination:       ID_EX_reg/pipeline_register_118 (FF)
1201
  Source Clock:      EX_MEM_reg/Clk_RST1 rising
1202
  Destination Clock: EX_MEM_reg/Clk_RST1 rising
1203
 
1204
  Data Path: IF_ID_reg/pipeline_register_3_2 to ID_EX_reg/pipeline_register_118
1205
                                Gate     Net
1206
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1207
    ----------------------------------------  ------------
1208
     FDRE:C->Q            15   0.591   1.052  IF_ID_reg/pipeline_register_3_2 (IF_ID_reg/pipeline_register_3_2)
1209
     LUT3:I2->O            1   0.704   0.000  uOP_Store_inst/Mmux__COND_14_92 (uOP_Store_inst/Mmux__COND_14_92)
1210
     MUXF5:I1->O           1   0.321   0.000  uOP_Store_inst/Mmux__COND_14_8_f5_0 (uOP_Store_inst/Mmux__COND_14_8_f51)
1211
     MUXF6:I1->O           1   0.521   0.000  uOP_Store_inst/Mmux__COND_14_7_f6 (uOP_Store_inst/Mmux__COND_14_7_f6)
1212
     MUXF7:I0->O           5   0.521   0.808  uOP_Store_inst/Mmux__COND_14_5_f7 (uOP_Store_inst/Mmux__COND_14_5_f7)
1213
     LUT4:I0->O            1   0.704   0.000  fw_c_11_F (N2538)
1214
     MUXF5:I0->O           3   0.321   0.535  fw_c_11 (fw_c_1)
1215
     LUT4:I3->O            2   0.704   0.000  Reg_Hist_inst/load_hazard_abs_and00021 (load_hazard_abs<2>)
1216
     FDRE:D                    0.308          ID_EX_reg/pipeline_register_118
1217
    ----------------------------------------
1218
    Total                      7.090ns (4.695ns logic, 2.395ns route)
1219
                                       (66.2% logic, 33.8% route)
1220
 
1221
=========================================================================
1222
Timing constraint: Default OFFSET IN BEFORE for Clock 'Clk'
1223
  Total number of paths / destination ports: 2109 / 906
1224
-------------------------------------------------------------------------
1225
Offset:              8.336ns (Levels of Logic = 5)
1226
  Source:            Interrupt<1> (PAD)
1227
  Destination:       IF_Stage_inst/PCStack_1_0 (FF)
1228
  Destination Clock: Clk rising
1229
 
1230
  Data Path: Interrupt<1> to IF_Stage_inst/PCStack_1_0
1231
                                Gate     Net
1232
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1233
    ----------------------------------------  ------------
1234
     IBUF:I->O             4   1.218   0.762  Interrupt_1_IBUF (Interrupt_1_IBUF)
1235
     LUT4_D:I0->O          2   0.704   0.482  interrupt_unit_inst/intr4 (interrupt_unit_inst/intr4)
1236
     LUT3:I2->O           17   0.704   1.055  interrupt_unit_inst/intr18 (intr)
1237
     LUT4_D:I3->O          3   0.704   0.566  IF_Stage_inst/PCStack_0_not00012 (N60)
1238
     LUT3:I2->O           10   0.704   0.882  IF_Stage_inst/PCStack_2_not00011 (IF_Stage_inst/PCStack_2_not0001)
1239
     FDE:CE                    0.555          IF_Stage_inst/PCStack_2_9
1240
    ----------------------------------------
1241
    Total                      8.336ns (4.589ns logic, 3.747ns route)
1242
                                       (55.1% logic, 44.9% route)
1243
 
1244
=========================================================================
1245
Timing constraint: Default OFFSET IN BEFORE for Clock 'EX_MEM_reg/Clk_RST1'
1246
  Total number of paths / destination ports: 224 / 224
1247
-------------------------------------------------------------------------
1248
Offset:              5.554ns (Levels of Logic = 2)
1249
  Source:            RST (PAD)
1250
  Destination:       EX_MEM_reg/pipeline_register_0 (FF)
1251
  Destination Clock: EX_MEM_reg/Clk_RST1 rising
1252
 
1253
  Data Path: RST to EX_MEM_reg/pipeline_register_0
1254
                                Gate     Net
1255
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1256
    ----------------------------------------  ------------
1257
     IBUF:I->O            44   1.218   1.441  RST_IBUF (RST_IBUF)
1258
     LUT2:I0->O           87   0.704   1.280  EX_MEM_reg/zero1 (EX_MEM_reg/zero)
1259
     FDRE:R                    0.911          EX_MEM_reg/pipeline_register_72
1260
    ----------------------------------------
1261
    Total                      5.554ns (2.833ns logic, 2.721ns route)
1262
                                       (51.0% logic, 49.0% route)
1263
 
1264
=========================================================================
1265
Timing constraint: Default OFFSET IN BEFORE for Clock 'Interrupt<3>'
1266
  Total number of paths / destination ports: 1 / 1
1267
-------------------------------------------------------------------------
1268
Offset:              3.237ns (Levels of Logic = 2)
1269
  Source:            Interrupt<2> (PAD)
1270
  Destination:       interrupt_unit_inst/vctr_inx_0 (LATCH)
1271
  Destination Clock: Interrupt<3> falling
1272
 
1273
  Data Path: Interrupt<2> to interrupt_unit_inst/vctr_inx_0
1274
                                Gate     Net
1275
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1276
    ----------------------------------------  ------------
1277
     IBUF:I->O             4   1.218   0.587  Interrupt_2_IBUF (Interrupt_2_IBUF)
1278
     INV:I->O              1   0.704   0.420  interrupt_unit_inst/vctr_inx_mux0000<1>1_INV_0 (interrupt_unit_inst/vctr_inx_mux0000<1>)
1279
     LDCP:D                    0.308          interrupt_unit_inst/vctr_inx_0
1280
    ----------------------------------------
1281
    Total                      3.237ns (2.230ns logic, 1.007ns route)
1282
                                       (68.9% logic, 31.1% route)
1283
 
1284
=========================================================================
1285
Timing constraint: Default OFFSET OUT AFTER for Clock 'EX_MEM_reg/Clk_RST1'
1286
  Total number of paths / destination ports: 104 / 38
1287
-------------------------------------------------------------------------
1288
Offset:              7.270ns (Levels of Logic = 2)
1289
  Source:            EX_MEM_reg/pipeline_register_1 (FF)
1290
  Destination:       exMemoryData<0> (PAD)
1291
  Source Clock:      EX_MEM_reg/Clk_RST1 rising
1292
 
1293
  Data Path: EX_MEM_reg/pipeline_register_1 to exMemoryData<0>
1294
                                Gate     Net
1295
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1296
    ----------------------------------------  ------------
1297
     FDRE:C->Q            44   0.591   1.441  EX_MEM_reg/pipeline_register_1 (EX_MEM_reg/pipeline_register_1)
1298
     LUT2:I0->O           32   0.704   1.262  MEM_Stage_inst/mem_wr_inv1 (MEM_Stage_inst/mem_wr_inv)
1299
     IOBUF:T->IO               3.272          exMemoryData_0_IOBUF (exMemoryData<0>)
1300
    ----------------------------------------
1301
    Total                      7.270ns (4.567ns logic, 2.703ns route)
1302
                                       (62.8% logic, 37.2% route)
1303
 
1304
=========================================================================
1305
Timing constraint: Default OFFSET OUT AFTER for Clock 'Clk'
1306
  Total number of paths / destination ports: 6 / 6
1307
-------------------------------------------------------------------------
1308
Offset:              4.283ns (Levels of Logic = 1)
1309
  Source:            IF_Stage_inst/PC_0 (FF)
1310
  Destination:       exInstAddr<0> (PAD)
1311
  Source Clock:      Clk rising
1312
 
1313
  Data Path: IF_Stage_inst/PC_0 to exInstAddr<0>
1314
                                Gate     Net
1315
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1316
    ----------------------------------------  ------------
1317
     FDRE:C->Q             1   0.591   0.420  IF_Stage_inst/PC_0 (IF_Stage_inst/PC_0)
1318
     OBUF:I->O                 3.272          exInstAddr_0_OBUF (exInstAddr<0>)
1319
    ----------------------------------------
1320
    Total                      4.283ns (3.863ns logic, 0.420ns route)
1321
                                       (90.2% logic, 9.8% route)
1322
 
1323
=========================================================================
1324
Timing constraint: Default path analysis
1325
  Total number of paths / destination ports: 1 / 1
1326
-------------------------------------------------------------------------
1327
Delay:               6.878ns (Levels of Logic = 3)
1328
  Source:            Clk (PAD)
1329
  Destination:       exMemoryClk (PAD)
1330
 
1331
  Data Path: Clk to exMemoryClk
1332
                                Gate     Net
1333
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
1334
    ----------------------------------------  ------------
1335
     IBUF:I->O            39   1.218   1.264  Clk_IBUF (Clk_IBUF1)
1336
     INV:I->O              1   0.704   0.420  MEM_Stage_inst/mem_Clk_not0000<0>1_INV_0 (exMemoryClk_OBUFT)
1337
     OBUFT:I->O                3.272          exMemoryClk_OBUFT (exMemoryClk)
1338
    ----------------------------------------
1339
    Total                      6.878ns (5.194ns logic, 1.684ns route)
1340
                                       (75.5% logic, 24.5% route)
1341
 
1342
=========================================================================
1343
 
1344
 
1345
Total REAL time to Xst completion: 28.00 secs
1346
Total CPU time to Xst completion: 28.11 secs
1347
 
1348
-->
1349
 
1350
Total memory usage is 328900 kilobytes
1351
 
1352
Number of errors   :    0 (   0 filtered)
1353
Number of warnings :  255 (   0 filtered)
1354
Number of infos    :    8 (   0 filtered)
1355
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.