OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [PATLPP/] [alunit/] [alunit.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// ALU for PATLPP
2
 
3
module alunit
4
(
5
        input                           [15:0]   op0,
6
        input                           [15:0]   op1,
7
        input                           [1:0]            op,
8
        output  reg     [15:0]   res
9
);
10
 
11
always @(op0 or op1 or op)
12
begin
13
        case (op)
14
                0: res <= op0 + op1;
15
                1: res <= op0 - op1;
16
                2: res <= op0 & op1;
17
                3: res <= op0 | op1;
18
        endcase
19
end
20
 
21
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.