OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [boardsupport/] [v4/] [v4_emac_v4_8.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
//-----------------------------------------------------------------------------
2
// Title      : Virtex-4 FX Ethernet MAC Wrapper
3
// Project    : Virtex-4 Embedded Tri-Mode Ethernet MAC Wrapper
4
// File       : v4_emac_v4_8.v
5
// Version    : 4.8
6
//-----------------------------------------------------------------------------
7
//
8
// (c) Copyright 2004-2010 Xilinx, Inc. All rights reserved.
9
//
10
// This file contains confidential and proprietary information
11
// of Xilinx, Inc. and is protected under U.S. and
12
// international copyright and other intellectual property
13
// laws.
14
//
15
// DISCLAIMER
16
// This disclaimer is not a license and does not grant any
17
// rights to the materials distributed herewith. Except as
18
// otherwise provided in a valid license issued to you by
19
// Xilinx, and to the maximum extent permitted by applicable
20
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
21
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
22
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
23
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
24
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
25
// (2) Xilinx shall not be liable (whether in contract or tort,
26
// including negligence, or under any other theory of
27
// liability) for any loss or damage of any kind or nature
28
// related to, arising under or in connection with these
29
// materials, including for any direct, or any indirect,
30
// special, incidental, or consequential loss or damage
31
// (including loss of data, profits, goodwill, or any type of
32
// loss or damage suffered as a result of any action brought
33
// by a third party) even if such damage or loss was
34
// reasonably foreseeable or Xilinx had been advised of the
35
// possibility of the same.
36
//
37
// CRITICAL APPLICATIONS
38
// Xilinx products are not designed or intended to be fail-
39
// safe, or for use in any application requiring fail-safe
40
// performance, such as life-support or safety devices or
41
// systems, Class III medical devices, nuclear facilities,
42
// applications related to the deployment of airbags, or any
43
// other applications that could lead to death, personal
44
// injury, or severe property or environmental damage
45
// (individually and collectively, "Critical
46
// Applications"). Customer assumes the sole risk and
47
// liability of any use of Xilinx products in Critical
48
// Applications, subject only to applicable laws and
49
// regulations governing limitations on product liability.
50
//
51
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
52
// PART OF THIS FILE AT ALL TIMES.
53
//
54
//------------------------------------------------------------------------------
55
// Description:  This wrapper file instantiates the full Virtex-4 FX Ethernet
56
//               MAC (EMAC) primitive.  For one or both of the two Ethernet MACs
57
//               (EMAC0/EMAC1):
58
//
59
//               * all unused input ports on the primitive will be tied to the
60
//                 appropriate logic level;
61
//
62
//               * all unused output ports on the primitive will be left
63
//                 unconnected;
64
//
65
//               * the Tie-off Vector will be connected based on the options
66
//                 selected from CORE Generator;
67
//
68
//               * only used ports will be connected to the ports of this
69
//                 wrapper file.
70
//
71
//               This simplified wrapper should therefore be used as the
72
//               instantiation template for the EMAC in customer designs.
73
//------------------------------------------------------------------------------
74
 
75
`timescale 1 ps / 1 ps
76
 
77
 
78
//------------------------------------------------------------------------------
79
// The module declaration for the top level wrapper.
80
//------------------------------------------------------------------------------
81
(* X_CORE_INFO = "v4_emac_v4_8, Coregen 12.1" *)
82
(* CORE_GENERATION_INFO = "v4_emac_v4_8,v4_emac_v4_8,{c_emac0=true,c_emac1=false,c_has_mii_emac0=true,c_has_mii_emac1=false,c_has_gmii_emac0=false,c_has_gmii_emac1=true,c_has_rgmii_v1_3_emac0=false,c_has_rgmii_v1_3_emac1=false,c_has_rgmii_v2_0_emac0=false,c_has_rgmii_v2_0_emac1=false,c_has_sgmii_emac0=false,c_has_sgmii_emac1=false,c_has_gpcs_emac0=false,c_has_gpcs_emac1=false,c_tri_speed_emac0=false,c_tri_speed_emac1=false,c_speed_10_emac0=true,c_speed_10_emac1=false,c_speed_100_emac0=true,c_speed_100_emac1=false,c_speed_1000_emac0=false,c_speed_1000_emac1=true,c_has_host=false,c_has_dcr=false,c_has_mdio_emac0=false,c_has_mdio_emac1=false,c_client_16_emac0=false,c_client_16_emac1=false,c_add_filter_emac0=true,c_add_filter_emac1=false,}" *)
83
module v4_emac_v4_8
84
(
85
    // Client Receiver Interface - EMAC0
86
    EMAC0CLIENTRXCLIENTCLKOUT,
87
    CLIENTEMAC0RXCLIENTCLKIN,
88
    EMAC0CLIENTRXD,
89
    EMAC0CLIENTRXDVLD,
90
    EMAC0CLIENTRXDVLDMSW,
91
    EMAC0CLIENTRXGOODFRAME,
92
    EMAC0CLIENTRXBADFRAME,
93
    EMAC0CLIENTRXFRAMEDROP,
94
    EMAC0CLIENTRXDVREG6,
95
    EMAC0CLIENTRXSTATS,
96
    EMAC0CLIENTRXSTATSVLD,
97
    EMAC0CLIENTRXSTATSBYTEVLD,
98
 
99
    // Client Transmitter Interface - EMAC0
100
    EMAC0CLIENTTXCLIENTCLKOUT,
101
    CLIENTEMAC0TXCLIENTCLKIN,
102
    CLIENTEMAC0TXD,
103
    CLIENTEMAC0TXDVLD,
104
    CLIENTEMAC0TXDVLDMSW,
105
    EMAC0CLIENTTXACK,
106
    CLIENTEMAC0TXFIRSTBYTE,
107
    CLIENTEMAC0TXUNDERRUN,
108
    EMAC0CLIENTTXCOLLISION,
109
    EMAC0CLIENTTXRETRANSMIT,
110
    CLIENTEMAC0TXIFGDELAY,
111
    EMAC0CLIENTTXSTATS,
112
    EMAC0CLIENTTXSTATSVLD,
113
    EMAC0CLIENTTXSTATSBYTEVLD,
114
 
115
    // MAC Control Interface - EMAC0
116
    CLIENTEMAC0PAUSEREQ,
117
    CLIENTEMAC0PAUSEVAL,
118
 
119
    // Clock Signal - EMAC0
120
    GTX_CLK_0,
121
    EMAC0CLIENTTXGMIIMIICLKOUT,
122
    CLIENTEMAC0TXGMIIMIICLKIN,
123
 
124
    // MII Interface - EMAC0
125
    MII_COL_0,
126
    MII_CRS_0,
127
    MII_TXD_0,
128
    MII_TX_EN_0,
129
    MII_TX_ER_0,
130
    MII_TX_CLK_0,
131
    MII_RXD_0,
132
    MII_RX_DV_0,
133
    MII_RX_ER_0,
134
    MII_RX_CLK_0,
135
 
136
    SPEED_VECTOR_IN_0,
137
 
138
 
139
    HOSTCLK,
140
 
141
    DCM_LOCKED_0,
142
 
143
    // Asynchronous Reset
144
    RESET
145
);
146
 
147
    //--------------------------------------------------------------------------
148
    // Port Declarations
149
    //--------------------------------------------------------------------------
150
 
151
 
152
    // Client Receiver Interface - EMAC0
153
    output          EMAC0CLIENTRXCLIENTCLKOUT;
154
    input           CLIENTEMAC0RXCLIENTCLKIN;
155
    output   [7:0]  EMAC0CLIENTRXD;
156
    output          EMAC0CLIENTRXDVLD;
157
    output          EMAC0CLIENTRXDVLDMSW;
158
    output          EMAC0CLIENTRXGOODFRAME;
159
    output          EMAC0CLIENTRXBADFRAME;
160
    output          EMAC0CLIENTRXFRAMEDROP;
161
    output          EMAC0CLIENTRXDVREG6;
162
    output   [6:0]  EMAC0CLIENTRXSTATS;
163
    output          EMAC0CLIENTRXSTATSVLD;
164
    output          EMAC0CLIENTRXSTATSBYTEVLD;
165
 
166
    // Client Transmitter Interface - EMAC0
167
    output          EMAC0CLIENTTXCLIENTCLKOUT;
168
    input           CLIENTEMAC0TXCLIENTCLKIN;
169
    input    [7:0]  CLIENTEMAC0TXD;
170
    input           CLIENTEMAC0TXDVLD;
171
    input           CLIENTEMAC0TXDVLDMSW;
172
    output          EMAC0CLIENTTXACK;
173
    input           CLIENTEMAC0TXFIRSTBYTE;
174
    input           CLIENTEMAC0TXUNDERRUN;
175
    output          EMAC0CLIENTTXCOLLISION;
176
    output          EMAC0CLIENTTXRETRANSMIT;
177
    input    [7:0]  CLIENTEMAC0TXIFGDELAY;
178
    output          EMAC0CLIENTTXSTATS;
179
    output          EMAC0CLIENTTXSTATSVLD;
180
    output          EMAC0CLIENTTXSTATSBYTEVLD;
181
 
182
    // MAC Control Interface - EMAC0
183
    input           CLIENTEMAC0PAUSEREQ;
184
    input   [15:0]  CLIENTEMAC0PAUSEVAL;
185
 
186
    // Clock Signal - EMAC0
187
    input           GTX_CLK_0;
188
    output          EMAC0CLIENTTXGMIIMIICLKOUT;
189
    input           CLIENTEMAC0TXGMIIMIICLKIN;
190
 
191
    // MII Interface - EMAC0
192
    input           MII_COL_0;
193
    input           MII_CRS_0;
194
    output   [3:0]  MII_TXD_0;
195
    output          MII_TX_EN_0;
196
    output          MII_TX_ER_0;
197
    input           MII_TX_CLK_0;
198
    input    [3:0]  MII_RXD_0;
199
    input           MII_RX_DV_0;
200
    input           MII_RX_ER_0;
201
    input           MII_RX_CLK_0;
202
 
203
    input [1:0]     SPEED_VECTOR_IN_0;
204
 
205
 
206
    input           HOSTCLK;
207
 
208
    input           DCM_LOCKED_0;
209
 
210
    // Asynchronous Reset
211
    input           RESET;
212
 
213
 
214
    //--------------------------------------------------------------------------
215
    // Wire Declarations
216
    //--------------------------------------------------------------------------
217
 
218
 
219
    wire    [15:0]  client_rx_data_0_i;
220
    wire    [15:0]  client_tx_data_0_i;
221
 
222
    wire    [79:0]  tieemac0configvector_i;
223
    wire     [4:0]  phy_config_vector_0_i;
224
    wire            has_mdio_0_i;
225
    wire     [1:0]  speed_0_i;
226
    wire            has_rgmii_0_i;
227
    wire            has_sgmii_0_i;
228
    wire            has_gpcs_0_i;
229
    wire            has_host_0_i;
230
    wire            tx_client_16_0_i;
231
    wire            rx_client_16_0_i;
232
    wire            addr_filter_enable_0_i;
233
    wire            rx_lt_check_dis_0_i;
234
    wire     [1:0]  flow_control_config_vector_0_i;
235
    wire     [6:0]  tx_config_vector_0_i;
236
    wire     [5:0]  rx_config_vector_0_i;
237
    wire    [47:0]  pause_address_0_i;
238
 
239
    wire    [47:0]  unicast_address_0_i;
240
 
241
    wire     [7:0]  mii_txd_0_i;
242
 
243
 
244
 
245
    //--------------------------------------------------------------------------
246
    // Main Body of Code
247
    //--------------------------------------------------------------------------
248
 
249
 
250
    // 8-bit client data on EMAC0
251
    assign EMAC0CLIENTRXD = client_rx_data_0_i[7:0];
252
    assign client_tx_data_0_i = {8'b00000000, CLIENTEMAC0TXD};
253
 
254
 
255
 
256
    // Unicast Address
257
    assign unicast_address_0_i = 48'hAB8967452301;
258
 
259
 
260
    //--------------------------------------------------------------------------
261
    // Construct the tie-off vector
262
    // ----------------------------
263
 
264
    // tieemac#configvector_i[79]: Reserved - Tie to "1"
265
 
266
    // tieemac#configvector_i[78:74]: phy_configuration_vector[4:0] that is used
267
    //     to configure the PCS/PMA either when the MDIO is not present or as
268
    //     initial values loaded upon reset that can be modified through the
269
    //     MDIO.
270
 
271
    // tieemac#configvector_i[73:65]: tie_off_vector[8:0] that is used to
272
    //     configure the mode of the EMAC.
273
 
274
    // tieemac#configvector_i[64:0]  mac_configuration_vector[64:0] that is used
275
    //     to configure the EMAC either when the Host interface is not present
276
    //     or as initial values loaded upon reset that can be modified through
277
    //     the Host interface.
278
    //--------------------------------------------------------------------------
279
 
280
    //-------
281
    // EMAC0
282
    //-------
283
 
284
    // Connect the Tie-off Pins
285
    //-------------------------
286
 
287
    assign tieemac0configvector_i = {1'b1, phy_config_vector_0_i,
288
                                     has_mdio_0_i,
289
                                     speed_0_i,
290
                                     has_rgmii_0_i,
291
                                     has_sgmii_0_i,
292
                                     has_gpcs_0_i,
293
                                     has_host_0_i,
294
                                     tx_client_16_0_i,
295
                                     rx_client_16_0_i,
296
                                     addr_filter_enable_0_i,
297
                                     rx_lt_check_dis_0_i,
298
                                     flow_control_config_vector_0_i,
299
                                     tx_config_vector_0_i,
300
                                     rx_config_vector_0_i,
301
                                     pause_address_0_i};
302
 
303
 
304
    // Assign the Tie-off Pins
305
    //-------------------------
306
 
307
    assign phy_config_vector_0_i             = 5'b10000; // PCS/PMA logic is not in use, hold in reset
308
    assign has_mdio_0_i                      = 1'b0;  // MDIO is not enabled
309
    assign speed_0_i                         = SPEED_VECTOR_IN_0; // Speed is assigned from example design
310
    assign has_rgmii_0_i                     = 1'b0;
311
    assign has_sgmii_0_i                     = 1'b0;
312
    assign has_gpcs_0_i                      = 1'b0;
313
    assign has_host_0_i                      = 1'b0;  // The Host I/F is not  in use
314
    assign tx_client_16_0_i                  = 1'b0;  // 8-bit interface for Tx client
315
    assign rx_client_16_0_i                  = 1'b0;  // 8-bit interface for Rx client
316
    assign addr_filter_enable_0_i            = 1'b1;  // The Address Filter (enabled)
317
    assign rx_lt_check_dis_0_i               = 1'b0;  // Rx Length/Type checking enabled (standard IEEE operation)
318
    assign flow_control_config_vector_0_i[1] = 1'b0;  // Rx Flow Control (not enabled)
319
    assign flow_control_config_vector_0_i[0] = 1'b0;  // Tx Flow Control (not enabled)
320
    assign tx_config_vector_0_i[6]           = 1'b0;  // Transmitter is not held in reset not asserted (normal operating mode)
321
    assign tx_config_vector_0_i[5]           = 1'b0;  // Transmitter Jumbo Frames (not enabled)
322
    assign tx_config_vector_0_i[4]           = 1'b0;  // Transmitter In-band FCS (not enabled)
323
    assign tx_config_vector_0_i[3]           = 1'b1;  // Transmitter Enabled
324
    assign tx_config_vector_0_i[2]           = 1'b0;  // Transmitter VLAN mode (not enabled)
325
    assign tx_config_vector_0_i[1]           = 1'b0;  // Transmitter Half Duplex mode (not enabled)
326
    assign tx_config_vector_0_i[0]           = 1'b0;  // Transmitter IFG Adjust (not enabled)
327
    assign rx_config_vector_0_i[5]           = 1'b0;  // Receiver is not held in reset not asserted (normal operating mode)
328
    assign rx_config_vector_0_i[4]           = 1'b0;  // Receiver Jumbo Frames (not enabled)
329
    assign rx_config_vector_0_i[3]           = 1'b0;  // Receiver In-band FCS (not enabled)
330
    assign rx_config_vector_0_i[2]           = 1'b1;  // Receiver Enabled
331
    assign rx_config_vector_0_i[1]           = 1'b0;  // Receiver VLAN mode (not enabled)
332
    assign rx_config_vector_0_i[0]           = 1'b0;  // Receiver Half Duplex mode (not enabled)
333
 
334
    // Set the Pause Address Default
335
    assign pause_address_0_i                 = 48'hFFEEDDCCBBAA;
336
 
337
    assign MII_TXD_0 = mii_txd_0_i[3:0];
338
 
339
 
340
 
341
    //--------------------------------------------------------------------------
342
    // Instantiate the Virtex-4 FX Embedded Ethernet EMAC
343
    //--------------------------------------------------------------------------
344
    EMAC v4_emac
345
    (
346
        .RESET                          (RESET),
347
 
348
        // EMAC0
349
        .EMAC0CLIENTRXCLIENTCLKOUT      (EMAC0CLIENTRXCLIENTCLKOUT),
350
        .CLIENTEMAC0RXCLIENTCLKIN       (CLIENTEMAC0RXCLIENTCLKIN),
351
        .EMAC0CLIENTRXD                 (client_rx_data_0_i),
352
        .EMAC0CLIENTRXDVLD              (EMAC0CLIENTRXDVLD),
353
        .EMAC0CLIENTRXDVLDMSW           (EMAC0CLIENTRXDVLDMSW),
354
        .EMAC0CLIENTRXGOODFRAME         (EMAC0CLIENTRXGOODFRAME),
355
        .EMAC0CLIENTRXBADFRAME          (EMAC0CLIENTRXBADFRAME),
356
        .EMAC0CLIENTRXFRAMEDROP         (EMAC0CLIENTRXFRAMEDROP),
357
        .EMAC0CLIENTRXDVREG6            (EMAC0CLIENTRXDVREG6),
358
        .EMAC0CLIENTRXSTATS             (EMAC0CLIENTRXSTATS),
359
        .EMAC0CLIENTRXSTATSVLD          (EMAC0CLIENTRXSTATSVLD),
360
        .EMAC0CLIENTRXSTATSBYTEVLD      (EMAC0CLIENTRXSTATSBYTEVLD),
361
 
362
        .EMAC0CLIENTTXCLIENTCLKOUT      (EMAC0CLIENTTXCLIENTCLKOUT),
363
        .CLIENTEMAC0TXCLIENTCLKIN       (CLIENTEMAC0TXCLIENTCLKIN),
364
        .CLIENTEMAC0TXD                 (client_tx_data_0_i),
365
        .CLIENTEMAC0TXDVLD              (CLIENTEMAC0TXDVLD),
366
        .CLIENTEMAC0TXDVLDMSW           (CLIENTEMAC0TXDVLDMSW),
367
        .EMAC0CLIENTTXACK               (EMAC0CLIENTTXACK),
368
        .CLIENTEMAC0TXFIRSTBYTE         (CLIENTEMAC0TXFIRSTBYTE),
369
        .CLIENTEMAC0TXUNDERRUN          (CLIENTEMAC0TXUNDERRUN),
370
        .EMAC0CLIENTTXCOLLISION         (EMAC0CLIENTTXCOLLISION),
371
        .EMAC0CLIENTTXRETRANSMIT        (EMAC0CLIENTTXRETRANSMIT),
372
        .CLIENTEMAC0TXIFGDELAY          (CLIENTEMAC0TXIFGDELAY),
373
        .EMAC0CLIENTTXSTATS             (EMAC0CLIENTTXSTATS),
374
        .EMAC0CLIENTTXSTATSVLD          (EMAC0CLIENTTXSTATSVLD),
375
        .EMAC0CLIENTTXSTATSBYTEVLD      (EMAC0CLIENTTXSTATSBYTEVLD),
376
 
377
        .CLIENTEMAC0PAUSEREQ            (CLIENTEMAC0PAUSEREQ),
378
        .CLIENTEMAC0PAUSEVAL            (CLIENTEMAC0PAUSEVAL),
379
 
380
        .PHYEMAC0GTXCLK                 (GTX_CLK_0),
381
        .EMAC0CLIENTTXGMIIMIICLKOUT     (EMAC0CLIENTTXGMIIMIICLKOUT),
382
        .CLIENTEMAC0TXGMIIMIICLKIN      (CLIENTEMAC0TXGMIIMIICLKIN),
383
 
384
        .PHYEMAC0RXCLK                  (MII_RX_CLK_0),
385
        .PHYEMAC0RXD                    ({4'b0000, MII_RXD_0}),
386
        .PHYEMAC0RXDV                   (MII_RX_DV_0),
387
        .PHYEMAC0RXER                   (MII_RX_ER_0),
388
        .PHYEMAC0MIITXCLK               (MII_TX_CLK_0),
389
        .EMAC0PHYTXCLK                  (),
390
        .EMAC0PHYTXD                    (mii_txd_0_i),
391
        .EMAC0PHYTXEN                   (MII_TX_EN_0),
392
        .EMAC0PHYTXER                   (MII_TX_ER_0),
393
        .PHYEMAC0COL                    (MII_COL_0),
394
        .PHYEMAC0CRS                    (MII_CRS_0),
395
 
396
        .CLIENTEMAC0DCMLOCKED           (DCM_LOCKED_0),
397
        .EMAC0CLIENTANINTERRUPT         (),
398
        .PHYEMAC0SIGNALDET              (1'b0),
399
        .PHYEMAC0PHYAD                  (5'b00000),
400
        .EMAC0PHYENCOMMAALIGN           (),
401
        .EMAC0PHYLOOPBACKMSB            (),
402
        .EMAC0PHYMGTRXRESET             (),
403
        .EMAC0PHYMGTTXRESET             (),
404
        .EMAC0PHYPOWERDOWN              (),
405
        .EMAC0PHYSYNCACQSTATUS          (),
406
        .PHYEMAC0RXCLKCORCNT            (3'b000),
407
        .PHYEMAC0RXBUFSTATUS            (2'b00),
408
        .PHYEMAC0RXBUFERR               (1'b0),
409
        .PHYEMAC0RXCHARISCOMMA          (1'b0),
410
        .PHYEMAC0RXCHARISK              (1'b0),
411
        .PHYEMAC0RXCHECKINGCRC          (1'b0),
412
        .PHYEMAC0RXCOMMADET             (1'b0),
413
        .PHYEMAC0RXDISPERR              (1'b0),
414
        .PHYEMAC0RXLOSSOFSYNC           (2'b00),
415
        .PHYEMAC0RXNOTINTABLE           (1'b0),
416
        .PHYEMAC0RXRUNDISP              (1'b0),
417
        .PHYEMAC0TXBUFERR               (1'b0),
418
        .EMAC0PHYTXCHARDISPMODE         (),
419
        .EMAC0PHYTXCHARDISPVAL          (),
420
        .EMAC0PHYTXCHARISK              (),
421
 
422
        .EMAC0PHYMCLKOUT                (),
423
        .PHYEMAC0MCLKIN                 (1'b0),
424
        .PHYEMAC0MDIN                   (1'b1),
425
        .EMAC0PHYMDOUT                  (),
426
        .EMAC0PHYMDTRI                  (),
427
 
428
        .TIEEMAC0CONFIGVEC              (tieemac0configvector_i),
429
        .TIEEMAC0UNICASTADDR            (unicast_address_0_i),
430
 
431
        // EMAC1
432
        .EMAC1CLIENTRXCLIENTCLKOUT      (),
433
        .CLIENTEMAC1RXCLIENTCLKIN       (1'b0),
434
        .EMAC1CLIENTRXD                 (),
435
        .EMAC1CLIENTRXDVLD              (),
436
        .EMAC1CLIENTRXDVLDMSW           (),
437
        .EMAC1CLIENTRXGOODFRAME         (),
438
        .EMAC1CLIENTRXBADFRAME          (),
439
        .EMAC1CLIENTRXFRAMEDROP         (),
440
        .EMAC1CLIENTRXDVREG6            (),
441
        .EMAC1CLIENTRXSTATS             (),
442
        .EMAC1CLIENTRXSTATSVLD          (),
443
        .EMAC1CLIENTRXSTATSBYTEVLD      (),
444
 
445
        .EMAC1CLIENTTXCLIENTCLKOUT      (),
446
        .CLIENTEMAC1TXCLIENTCLKIN       (1'b0),
447
        .CLIENTEMAC1TXD                 (16'h0000),
448
        .CLIENTEMAC1TXDVLD              (1'b0),
449
        .CLIENTEMAC1TXDVLDMSW           (1'b0),
450
        .EMAC1CLIENTTXACK               (),
451
        .CLIENTEMAC1TXFIRSTBYTE         (1'b0),
452
        .CLIENTEMAC1TXUNDERRUN          (1'b0),
453
        .EMAC1CLIENTTXCOLLISION         (),
454
        .EMAC1CLIENTTXRETRANSMIT        (),
455
        .CLIENTEMAC1TXIFGDELAY          (8'h00),
456
        .EMAC1CLIENTTXSTATS             (),
457
        .EMAC1CLIENTTXSTATSVLD          (),
458
        .EMAC1CLIENTTXSTATSBYTEVLD      (),
459
 
460
        .CLIENTEMAC1PAUSEREQ            (1'b0),
461
        .CLIENTEMAC1PAUSEVAL            (16'h0000),
462
 
463
        .PHYEMAC1GTXCLK                 (1'b0),
464
        .EMAC1CLIENTTXGMIIMIICLKOUT     (),
465
        .CLIENTEMAC1TXGMIIMIICLKIN      (1'b0),
466
 
467
        .PHYEMAC1RXCLK                  (1'b0),
468
        .PHYEMAC1RXD                    (8'h00),
469
        .PHYEMAC1RXDV                   (1'b0),
470
        .PHYEMAC1RXER                   (1'b0),
471
        .PHYEMAC1MIITXCLK               (1'b0),
472
        .EMAC1PHYTXCLK                  (),
473
        .EMAC1PHYTXD                    (),
474
        .EMAC1PHYTXEN                   (),
475
        .EMAC1PHYTXER                   (),
476
        .PHYEMAC1COL                    (1'b0),
477
        .PHYEMAC1CRS                    (1'b0),
478
 
479
        .CLIENTEMAC1DCMLOCKED           (1'b1),
480
        .EMAC1CLIENTANINTERRUPT         (),
481
        .PHYEMAC1SIGNALDET              (1'b0),
482
        .PHYEMAC1PHYAD                  (5'b00000),
483
        .EMAC1PHYENCOMMAALIGN           (),
484
        .EMAC1PHYLOOPBACKMSB            (),
485
        .EMAC1PHYMGTRXRESET             (),
486
        .EMAC1PHYMGTTXRESET             (),
487
        .EMAC1PHYPOWERDOWN              (),
488
        .EMAC1PHYSYNCACQSTATUS          (),
489
        .PHYEMAC1RXCLKCORCNT            (3'b000),
490
        .PHYEMAC1RXBUFSTATUS            (2'b00),
491
        .PHYEMAC1RXBUFERR               (1'b0),
492
        .PHYEMAC1RXCHARISCOMMA          (1'b0),
493
        .PHYEMAC1RXCHARISK              (1'b0),
494
        .PHYEMAC1RXCHECKINGCRC          (1'b0),
495
        .PHYEMAC1RXCOMMADET             (1'b0),
496
        .PHYEMAC1RXDISPERR              (1'b0),
497
        .PHYEMAC1RXLOSSOFSYNC           (2'b00),
498
        .PHYEMAC1RXNOTINTABLE           (1'b0),
499
        .PHYEMAC1RXRUNDISP              (1'b0),
500
        .PHYEMAC1TXBUFERR               (1'b0),
501
        .EMAC1PHYTXCHARDISPMODE         (),
502
        .EMAC1PHYTXCHARDISPVAL          (),
503
        .EMAC1PHYTXCHARISK              (),
504
 
505
        .EMAC1PHYMCLKOUT                (),
506
        .PHYEMAC1MCLKIN                 (1'b0),
507
        .PHYEMAC1MDIN                   (1'b0),
508
        .EMAC1PHYMDOUT                  (),
509
        .EMAC1PHYMDTRI                  (),
510
 
511
        .TIEEMAC1CONFIGVEC              (80'd0),
512
        .TIEEMAC1UNICASTADDR            (48'd0),
513
 
514
        // Host Interface
515
        .HOSTCLK                        (HOSTCLK),
516
        .HOSTOPCODE                     (2'b00),
517
        .HOSTREQ                        (1'b0),
518
        .HOSTMIIMSEL                    (1'b0),
519
        .HOSTADDR                       (10'b0000000000),
520
        .HOSTWRDATA                     (32'h00000000),
521
        .HOSTMIIMRDY                    (),
522
        .HOSTRDDATA                     (),
523
        .HOSTEMAC1SEL                   (1'b0),
524
 
525
        // DCR Interface
526
        .DCREMACCLK                     (1'b0),
527
        .DCREMACABUS                    (2'b00),
528
        .DCREMACREAD                    (1'b0),
529
        .DCREMACWRITE                   (1'b0),
530
        .DCREMACDBUS                    (32'h00000000),
531
        .EMACDCRACK                     (),
532
        .EMACDCRDBUS                    (),
533
        .DCREMACENABLE                  (1'b0),
534
        .DCRHOSTDONEIR                  ()
535
    );
536
 
537
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.