OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [boardsupport/] [v4/] [v4_emac_v4_8_locallink.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
//-----------------------------------------------------------------------------
2
// Title      : Virtex-4 Ethernet MAC Local Link Wrapper
3
// Project    : Virtex-4 Embedded Tri-Mode Ethernet MAC Wrapper
4
// File       : v4_emac_v4_8_locallink.v
5
// Version    : 4.8
6
//-----------------------------------------------------------------------------
7
//
8
// (c) Copyright 2004-2010 Xilinx, Inc. All rights reserved.
9
//
10
// This file contains confidential and proprietary information
11
// of Xilinx, Inc. and is protected under U.S. and
12
// international copyright and other intellectual property
13
// laws.
14
//
15
// DISCLAIMER
16
// This disclaimer is not a license and does not grant any
17
// rights to the materials distributed herewith. Except as
18
// otherwise provided in a valid license issued to you by
19
// Xilinx, and to the maximum extent permitted by applicable
20
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
21
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
22
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
23
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
24
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
25
// (2) Xilinx shall not be liable (whether in contract or tort,
26
// including negligence, or under any other theory of
27
// liability) for any loss or damage of any kind or nature
28
// related to, arising under or in connection with these
29
// materials, including for any direct, or any indirect,
30
// special, incidental, or consequential loss or damage
31
// (including loss of data, profits, goodwill, or any type of
32
// loss or damage suffered as a result of any action brought
33
// by a third party) even if such damage or loss was
34
// reasonably foreseeable or Xilinx had been advised of the
35
// possibility of the same.
36
//
37
// CRITICAL APPLICATIONS
38
// Xilinx products are not designed or intended to be fail-
39
// safe, or for use in any application requiring fail-safe
40
// performance, such as life-support or safety devices or
41
// systems, Class III medical devices, nuclear facilities,
42
// applications related to the deployment of airbags, or any
43
// other applications that could lead to death, personal
44
// injury, or severe property or environmental damage
45
// (individually and collectively, "Critical
46
// Applications"). Customer assumes the sole risk and
47
// liability of any use of Xilinx products in Critical
48
// Applications, subject only to applicable laws and
49
// regulations governing limitations on product liability.
50
//
51
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
52
// PART OF THIS FILE AT ALL TIMES.
53
//
54
//-----------------------------------------------------------------------------
55
// Description:  This level:
56
//
57
//               * instantiates the TEMAC top level file (the TEMAC
58
//                 wrapper with the clocking and physical interface
59
//                                 logic;
60
//               
61
//               * instantiates TX and RX reference design FIFO's with 
62
//                 a local link interface.
63
//               
64
//               Please refer to the Datasheet, Getting Started Guide, and
65
//               the Virtex-4 Embedded Tri-Mode Ethernet MAC User Gude for
66
//               further information.
67
//-----------------------------------------------------------------------------
68
 
69
 
70
`timescale 1 ps / 1 ps
71
 
72
 
73
//-----------------------------------------------------------------------------
74
// The module declaration for the MAC with FIFO design.
75
//-----------------------------------------------------------------------------
76
module v4_emac_v4_8_locallink
77
(
78
    // Local link Receiver Interface - EMAC0
79
    RX_LL_CLOCK_0,
80
    RX_LL_RESET_0,
81
    RX_LL_DATA_0,
82
    RX_LL_SOF_N_0,
83
    RX_LL_EOF_N_0,
84
    RX_LL_SRC_RDY_N_0,
85
    RX_LL_DST_RDY_N_0,
86
    RX_LL_FIFO_STATUS_0,
87
 
88
    // Local link Transmitter Interface - EMAC0
89
    TX_LL_CLOCK_0,
90
    TX_LL_RESET_0,
91
    TX_LL_DATA_0,
92
    TX_LL_SOF_N_0,
93
    TX_LL_EOF_N_0,
94
    TX_LL_SRC_RDY_N_0,
95
    TX_LL_DST_RDY_N_0,
96
 
97
 
98
    // Client Receiver Interface - EMAC0
99
    EMAC0CLIENTRXDVLD,
100
    EMAC0CLIENTRXFRAMEDROP,
101
    EMAC0CLIENTRXSTATS,
102
    EMAC0CLIENTRXSTATSVLD,
103
    EMAC0CLIENTRXSTATSBYTEVLD,
104
 
105
    // Client Transmitter Interface - EMAC0
106
    CLIENTEMAC0TXIFGDELAY,
107
    EMAC0CLIENTTXSTATS,
108
    EMAC0CLIENTTXSTATSVLD,
109
    EMAC0CLIENTTXSTATSBYTEVLD,
110
 
111
    // MAC Control Interface - EMAC0
112
    CLIENTEMAC0PAUSEREQ,
113
    CLIENTEMAC0PAUSEVAL,
114
 
115
    RX_CLIENT_CLK_0,
116
    TX_CLIENT_CLK_0,
117
 
118
    // MII Interface - EMAC0
119
    MII_COL_0,
120
    MII_CRS_0,
121
    MII_TXD_0,
122
    MII_TX_EN_0,
123
    MII_TX_ER_0,
124
    MII_TX_CLK_0,
125
    MII_RXD_0,
126
    MII_RX_DV_0,
127
    MII_RX_ER_0,
128
    MII_RX_CLK_0,
129
 
130
    // Preserved Tie-Off Pins for EMAC0
131
    SPEED_VECTOR_IN_0,
132
    HOSTCLK,
133
    // Asynchronous Reset
134
    RESET
135
);
136
 
137
 
138
//-----------------------------------------------------------------------------
139
// Port Declarations 
140
//-----------------------------------------------------------------------------
141
    // Local link Receiver Interface - EMAC0
142
    input           RX_LL_CLOCK_0;
143
    input           RX_LL_RESET_0;
144
    output   [7:0]  RX_LL_DATA_0;
145
    output          RX_LL_SOF_N_0;
146
    output          RX_LL_EOF_N_0;
147
    output          RX_LL_SRC_RDY_N_0;
148
    input           RX_LL_DST_RDY_N_0;
149
    output   [3:0]  RX_LL_FIFO_STATUS_0;
150
 
151
    // Local link Transmitter Interface - EMAC0
152
    input           TX_LL_CLOCK_0;
153
    input           TX_LL_RESET_0;
154
    input    [7:0]  TX_LL_DATA_0;
155
    input           TX_LL_SOF_N_0;
156
    input           TX_LL_EOF_N_0;
157
    input           TX_LL_SRC_RDY_N_0;
158
    output          TX_LL_DST_RDY_N_0;
159
 
160
    // Client Receiver Interface - EMAC0
161
    output          EMAC0CLIENTRXDVLD;
162
    output          EMAC0CLIENTRXFRAMEDROP;
163
    output   [6:0]  EMAC0CLIENTRXSTATS;
164
    output          EMAC0CLIENTRXSTATSVLD;
165
    output          EMAC0CLIENTRXSTATSBYTEVLD;
166
 
167
    // Client Transmitter Interface - EMAC0
168
    input    [7:0]  CLIENTEMAC0TXIFGDELAY;
169
    output          EMAC0CLIENTTXSTATS;
170
    output          EMAC0CLIENTTXSTATSVLD;
171
    output          EMAC0CLIENTTXSTATSBYTEVLD;
172
 
173
    // MAC Control Interface - EMAC0
174
    input           CLIENTEMAC0PAUSEREQ;
175
    input   [15:0]  CLIENTEMAC0PAUSEVAL;
176
 
177
    output          RX_CLIENT_CLK_0;
178
    output          TX_CLIENT_CLK_0;
179
 
180
    // MII Interface - EMAC0
181
    input           MII_COL_0;
182
    input           MII_CRS_0;
183
    output   [3:0]  MII_TXD_0;
184
    output          MII_TX_EN_0;
185
    output          MII_TX_ER_0;
186
    input           MII_TX_CLK_0;
187
    input    [3:0]  MII_RXD_0;
188
    input           MII_RX_DV_0;
189
    input           MII_RX_ER_0;
190
    input           MII_RX_CLK_0;
191
 
192
    // Preserved Tie-Off Pins for EMAC0
193
    input    [1:0]  SPEED_VECTOR_IN_0;
194
    input           HOSTCLK;
195
 
196
 
197
   // Asynchronous Reset
198
    input           RESET;
199
 
200
 
201
//-----------------------------------------------------------------------------
202
// Wire and Reg Declarations 
203
//-----------------------------------------------------------------------------
204
 
205
    // Global asynchronous reset
206
    wire            reset_i;
207
    // Client interface clocking signals - EMAC0
208
    wire            tx_clk_0_i;
209
    wire            rx_clk_0_i;
210
 
211
    // Internal client interface connections - EMAC0
212
    // Transmitter interface
213
    wire     [7:0]  tx_data_0_i;
214
    wire            tx_data_valid_0_i;
215
    wire            tx_underrun_0_i;
216
    wire            tx_ack_0_i;
217
    wire            tx_collision_0_i;
218
    wire            tx_retransmit_0_i;
219
    // Receiver interface
220
    wire     [7:0]  rx_data_0_i;
221
    wire            rx_data_valid_0_i;
222
    wire            rx_good_frame_0_i;
223
    wire            rx_bad_frame_0_i;
224
    // Registers for the EMAC receiver output
225
    reg      [7:0]  rx_data_0_r;
226
    reg             rx_data_valid_0_r;
227
    reg             rx_good_frame_0_r;
228
    reg             rx_bad_frame_0_r;
229
 
230
    // create a synchronous reset in the transmitter clock domain
231
    reg       [5:0] tx_pre_reset_0_i;
232
    reg             tx_reset_0_i;
233
 
234
    // create a synchronous reset in the receiver clock domain
235
    reg       [5:0] rx_pre_reset_0_i;
236
    reg             rx_reset_0_i;
237
 
238
    // synthesis attribute ASYNC_REG of rx_pre_reset_0_i is "TRUE";
239
    // synthesis attribute ASYNC_REG of tx_pre_reset_0_i is "TRUE";
240
 
241
    //synthesis attribute keep of tx_data_0_i is "true";
242
    //synthesis attribute keep of tx_data_valid_0_i is "true";
243
    //synthesis attribute keep of tx_ack_0_i is "true";
244
    //synthesis attribute keep of rx_data_0_i is "true";
245
    //synthesis attribute keep of rx_data_valid_0_i is "true";
246
//-----------------------------------------------------------------------------
247
// Main Body of Code 
248
//-----------------------------------------------------------------------------
249
 
250
    // Asynchronous reset input
251
    assign reset_i = RESET;
252
 
253
    //------------------------------------------------------------------------
254
    // Instantiate the EMAC Wrapper (v4_emac_v4_8_block.v) 
255
    //------------------------------------------------------------------------
256
    v4_emac_v4_8_block v4_emac_block_inst
257
    (
258
    // Client Receiver Interface - EMAC0
259
    .RX_CLIENT_CLK_0                     (rx_clk_0_i),
260
    .EMAC0CLIENTRXD                      (rx_data_0_i),
261
    .EMAC0CLIENTRXDVLD                   (rx_data_valid_0_i),
262
    .EMAC0CLIENTRXGOODFRAME              (rx_good_frame_0_i),
263
    .EMAC0CLIENTRXBADFRAME               (rx_bad_frame_0_i),
264
    .EMAC0CLIENTRXFRAMEDROP              (EMAC0CLIENTRXFRAMEDROP),
265
    .EMAC0CLIENTRXSTATS                  (EMAC0CLIENTRXSTATS),
266
    .EMAC0CLIENTRXSTATSVLD               (EMAC0CLIENTRXSTATSVLD),
267
    .EMAC0CLIENTRXSTATSBYTEVLD           (EMAC0CLIENTRXSTATSBYTEVLD),
268
 
269
    // Client Transmitter Interface - EMAC0
270
    .TX_CLIENT_CLK_0                     (tx_clk_0_i),
271
    .CLIENTEMAC0TXD                      (tx_data_0_i),
272
    .CLIENTEMAC0TXDVLD                   (tx_data_valid_0_i),
273
    .EMAC0CLIENTTXACK                    (tx_ack_0_i),
274
    .CLIENTEMAC0TXFIRSTBYTE              (1'b0),
275
    .CLIENTEMAC0TXUNDERRUN               (tx_underrun_0_i),
276
    .EMAC0CLIENTTXCOLLISION              (tx_collision_0_i),
277
    .EMAC0CLIENTTXRETRANSMIT             (tx_retransmit_0_i),
278
    .CLIENTEMAC0TXIFGDELAY               (CLIENTEMAC0TXIFGDELAY),
279
    .EMAC0CLIENTTXSTATS                  (EMAC0CLIENTTXSTATS),
280
    .EMAC0CLIENTTXSTATSVLD               (EMAC0CLIENTTXSTATSVLD),
281
    .EMAC0CLIENTTXSTATSBYTEVLD           (EMAC0CLIENTTXSTATSBYTEVLD),
282
 
283
    // MAC Control Interface - EMAC0
284
    .CLIENTEMAC0PAUSEREQ                 (CLIENTEMAC0PAUSEREQ),
285
    .CLIENTEMAC0PAUSEVAL                 (CLIENTEMAC0PAUSEVAL),
286
 
287
 
288
 
289
    // MII Interface - EMAC0
290
    .MII_COL_0                           (MII_COL_0),
291
    .MII_CRS_0                           (MII_CRS_0),
292
    .MII_TXD_0                           (MII_TXD_0),
293
    .MII_TX_EN_0                         (MII_TX_EN_0),
294
    .MII_TX_ER_0                         (MII_TX_ER_0),
295
    .MII_TX_CLK_0                        (MII_TX_CLK_0),
296
    .MII_RXD_0                           (MII_RXD_0),
297
    .MII_RX_DV_0                         (MII_RX_DV_0),
298
    .MII_RX_ER_0                         (MII_RX_ER_0),
299
    .MII_RX_CLK_0                        (MII_RX_CLK_0),
300
 
301
    // Preserved Tie-Off Pins for EMAC0
302
    .SPEED_VECTOR_IN_0                   (SPEED_VECTOR_IN_0),
303
    .HOSTCLK                             (HOSTCLK),
304
    // Asynchronous Reset Input
305
    .RESET                               (reset_i));
306
 
307
  //-------------------------------------------------------------------
308
  // Instantiate the client side FIFO
309
  //-------------------------------------------------------------------
310
  eth_fifo_8 client_side_FIFO_emac0 (
311
     // EMAC transmitter client interface
312
     .tx_clk(tx_clk_0_i),
313
     .tx_reset(tx_reset_0_i),
314
     .tx_enable(1'b1),
315
     .tx_data(tx_data_0_i),
316
     .tx_data_valid(tx_data_valid_0_i),
317
     .tx_ack(tx_ack_0_i),
318
     .tx_underrun(tx_underrun_0_i),
319
     .tx_collision(tx_collision_0_i),
320
     .tx_retransmit(tx_retransmit_0_i),
321
 
322
     // Transmitter local link interface     
323
     .tx_ll_clock(TX_LL_CLOCK_0),
324
     .tx_ll_reset(TX_LL_RESET_0),
325
     .tx_ll_data_in(TX_LL_DATA_0),
326
     .tx_ll_sof_in_n(TX_LL_SOF_N_0),
327
     .tx_ll_eof_in_n(TX_LL_EOF_N_0),
328
     .tx_ll_src_rdy_in_n(TX_LL_SRC_RDY_N_0),
329
     .tx_ll_dst_rdy_out_n(TX_LL_DST_RDY_N_0),
330
     .tx_fifo_status(),
331
     .tx_overflow(),
332
 
333
     // EMAC receiver client interface     
334
     .rx_clk(rx_clk_0_i),
335
     .rx_reset(rx_reset_0_i),
336
     .rx_enable(1'b1),
337
     .rx_data(rx_data_0_r),
338
     .rx_data_valid(rx_data_valid_0_r),
339
     .rx_good_frame(rx_good_frame_0_r),
340
     .rx_bad_frame(rx_bad_frame_0_r),
341
     .rx_overflow(),
342
 
343
     // Receiver local link interface
344
     .rx_ll_clock(RX_LL_CLOCK_0),
345
     .rx_ll_reset(RX_LL_RESET_0),
346
     .rx_ll_data_out(RX_LL_DATA_0),
347
     .rx_ll_sof_out_n(RX_LL_SOF_N_0),
348
     .rx_ll_eof_out_n(RX_LL_EOF_N_0),
349
     .rx_ll_src_rdy_out_n(RX_LL_SRC_RDY_N_0),
350
     .rx_ll_dst_rdy_in_n(RX_LL_DST_RDY_N_0),
351
     .rx_fifo_status(RX_LL_FIFO_STATUS_0));
352
 
353
 
354
  //-------------------------------------------------------------------
355
  // Create synchronous reset signals for use in the FIFO.
356
  // A synchronous reset signal is created in each
357
  // clock domain.
358
  //-------------------------------------------------------------------
359
 
360
  // Create synchronous reset in the transmitter clock domain.
361
  always @(posedge tx_clk_0_i, posedge reset_i)
362
  begin
363
    if (reset_i === 1'b1)
364
    begin
365
      tx_pre_reset_0_i <= 6'h3F;
366
      tx_reset_0_i     <= 1'b1;
367
    end
368
    else
369
    begin
370
        tx_pre_reset_0_i[0]   <= 1'b0;
371
        tx_pre_reset_0_i[5:1] <= tx_pre_reset_0_i[4:0];
372
        tx_reset_0_i          <= tx_pre_reset_0_i[5];
373
      end
374
  end
375
 
376
always @(posedge rx_clk_0_i, posedge reset_i)
377
  begin
378
    if (reset_i === 1'b1)
379
    begin
380
      rx_pre_reset_0_i <= 6'h3F;
381
      rx_reset_0_i     <= 1'b1;
382
    end
383
    else
384
    begin
385
        rx_pre_reset_0_i[0]   <= 1'b0;
386
        rx_pre_reset_0_i[5:1] <= rx_pre_reset_0_i[4:0];
387
        rx_reset_0_i          <= rx_pre_reset_0_i[5];
388
      end
389
  end
390
 
391
  //--------------------------------------------------------------------
392
  // Register the receiver outputs from EMAC0 before routing 
393
  // to the FIFO
394
  //--------------------------------------------------------------------
395
  always @(posedge rx_clk_0_i, posedge reset_i)
396
  begin
397
    if (reset_i == 1'b1)
398
    begin
399
      rx_data_valid_0_r <= 1'b0;
400
      rx_data_0_r       <= 8'h00;
401
      rx_good_frame_0_r <= 1'b0;
402
      rx_bad_frame_0_r  <= 1'b0;
403
    end
404
    else
405
    begin
406
        rx_data_0_r       <= rx_data_0_i;
407
        rx_data_valid_0_r <= rx_data_valid_0_i;
408
        rx_good_frame_0_r <= rx_good_frame_0_i;
409
        rx_bad_frame_0_r  <= rx_bad_frame_0_i;
410
      end
411
  end
412
 
413
    // EMAC0 Client outputs to upper levels and user logic
414
    assign EMAC0CLIENTRXDVLD = rx_data_valid_0_i;
415
    assign RX_CLIENT_CLK_0 = rx_clk_0_i;
416
    assign TX_CLIENT_CLK_0 = tx_clk_0_i;
417
 
418
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.