OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [boardsupport/] [v5/] [rocketio_wrapper_gtp_tile.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
//////////////////////////////////////////////////////////////////////////////
2
//$Date: 2009/03/10 16:31:34 $
3
//$RCSfile: rocketio_wrapper_gtp_ver_tile_v.ejava,v $
4
//$Revision: 1.1.4.1 $
5
///////////////////////////////////////////////////////////////////////////////
6
//   ____  ____ 
7
//  /   /\/   / 
8
// /___/  \  /    Vendor: Xilinx 
9
// \   \   \/     Version : 1.9 
10
//  \   \         Application : GTP Wizard 
11
//  /   /         Filename : rocketio_wrapper_gtp_ver_tile.v
12
// /___/   /\     Timestamp : 02/08/2005 09:12:43
13
// \   \  /  \ 
14
//  \___\/\___\ 
15
//
16
//
17
// Module ROCKETIO_WRAPPER_GTP_VER_TILE (a GTP Tile Wrapper)
18
// Generated by Xilinx GTP Wizard
19
 
20
 
21
 
22
`timescale 1ns / 1ps
23
 
24
 
25
//***************************** Entity Declaration ****************************
26
 
27
module ROCKETIO_WRAPPER_GTP_TILE #
28
(
29
    // Simulation attributes
30
    parameter   TILE_SIM_GTPRESET_SPEEDUP  =   0,      // Set to 1 to speed up sim reset
31
    parameter   TILE_SIM_PLL_PERDIV2       =   9'h190,    // Set to the VCO Unit Interval time
32
 
33
    // Channel bonding attributes
34
    parameter   TILE_CHAN_BOND_MODE_0      =   "OFF",  // "MASTER", "SLAVE", or "OFF"
35
    parameter   TILE_CHAN_BOND_LEVEL_0     =   0,      // 0 to 7. See UG for details
36
 
37
    parameter   TILE_CHAN_BOND_MODE_1      =   "OFF",  // "MASTER", "SLAVE", or "OFF"
38
    parameter   TILE_CHAN_BOND_LEVEL_1     =   0       // 0 to 7. See UG for details
39
)
40
(
41
    //---------------------- Loopback and Powerdown Ports ----------------------
42
    LOOPBACK0_IN,
43
    LOOPBACK1_IN,
44
    RXPOWERDOWN0_IN,
45
    TXPOWERDOWN0_IN,
46
    RXPOWERDOWN1_IN,
47
    TXPOWERDOWN1_IN,
48
    //--------------------- Receive Ports - 8b10b Decoder ----------------------
49
    RXCHARISCOMMA0_OUT,
50
    RXCHARISCOMMA1_OUT,
51
    RXCHARISK0_OUT,
52
    RXCHARISK1_OUT,
53
    RXDISPERR0_OUT,
54
    RXDISPERR1_OUT,
55
    RXNOTINTABLE0_OUT,
56
    RXNOTINTABLE1_OUT,
57
    RXRUNDISP0_OUT,
58
    RXRUNDISP1_OUT,
59
    //----------------- Receive Ports - Clock Correction Ports -----------------
60
    RXCLKCORCNT0_OUT,
61
    RXCLKCORCNT1_OUT,
62
    //------------- Receive Ports - Comma Detection and Alignment --------------
63
    RXENMCOMMAALIGN0_IN,
64
    RXENMCOMMAALIGN1_IN,
65
    RXENPCOMMAALIGN0_IN,
66
    RXENPCOMMAALIGN1_IN,
67
    //----------------- Receive Ports - RX Data Path interface -----------------
68
    RXDATA0_OUT,
69
    RXDATA1_OUT,
70
    RXRECCLK0_OUT,
71
    RXRECCLK1_OUT,
72
    RXRESET0_IN,
73
    RXRESET1_IN,
74
    RXUSRCLK0_IN,
75
    RXUSRCLK1_IN,
76
    RXUSRCLK20_IN,
77
    RXUSRCLK21_IN,
78
    //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
79
    RXELECIDLE0_OUT,
80
    RXELECIDLE1_OUT,
81
    RXN0_IN,
82
    RXN1_IN,
83
    RXP0_IN,
84
    RXP1_IN,
85
    //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
86
    RXBUFRESET0_IN,
87
    RXBUFRESET1_IN,
88
    RXBUFSTATUS0_OUT,
89
    RXBUFSTATUS1_OUT,
90
    //------------------- Shared Ports - Tile and PLL Ports --------------------
91
    CLKIN_IN,
92
    GTPRESET_IN,
93
    PLLLKDET_OUT,
94
    REFCLKOUT_OUT,
95
    RESETDONE0_OUT,
96
    RESETDONE1_OUT,
97
    //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
98
    TXCHARDISPMODE0_IN,
99
    TXCHARDISPMODE1_IN,
100
    TXCHARDISPVAL0_IN,
101
    TXCHARDISPVAL1_IN,
102
    TXCHARISK0_IN,
103
    TXCHARISK1_IN,
104
    //----------- Transmit Ports - TX Buffering and Phase Alignment ------------
105
    TXBUFSTATUS0_OUT,
106
    TXBUFSTATUS1_OUT,
107
    //---------------- Transmit Ports - TX Data Path interface -----------------
108
    TXDATA0_IN,
109
    TXDATA1_IN,
110
    TXOUTCLK0_OUT,
111
    TXOUTCLK1_OUT,
112
    TXRESET0_IN,
113
    TXRESET1_IN,
114
    TXUSRCLK0_IN,
115
    TXUSRCLK1_IN,
116
    TXUSRCLK20_IN,
117
    TXUSRCLK21_IN,
118
    //------------- Transmit Ports - TX Driver and OOB signalling --------------
119
    TXN0_OUT,
120
    TXN1_OUT,
121
    TXP0_OUT,
122
    TXP1_OUT
123
 
124
 
125
);
126
 
127
//***************************** Port Declarations *****************************
128
 
129
 
130
    //---------------------- Loopback and Powerdown Ports ----------------------
131
    input   [2:0]   LOOPBACK0_IN;
132
    input   [2:0]   LOOPBACK1_IN;
133
    input   [1:0]   RXPOWERDOWN0_IN;
134
    input   [1:0]   TXPOWERDOWN0_IN;
135
    input   [1:0]   RXPOWERDOWN1_IN;
136
    input   [1:0]   TXPOWERDOWN1_IN;
137
    //--------------------- Receive Ports - 8b10b Decoder ----------------------
138
    output          RXCHARISCOMMA0_OUT;
139
    output          RXCHARISCOMMA1_OUT;
140
    output          RXCHARISK0_OUT;
141
    output          RXCHARISK1_OUT;
142
    output          RXDISPERR0_OUT;
143
    output          RXDISPERR1_OUT;
144
    output          RXNOTINTABLE0_OUT;
145
    output          RXNOTINTABLE1_OUT;
146
    output          RXRUNDISP0_OUT;
147
    output          RXRUNDISP1_OUT;
148
    //----------------- Receive Ports - Clock Correction Ports -----------------
149
    output  [2:0]   RXCLKCORCNT0_OUT;
150
    output  [2:0]   RXCLKCORCNT1_OUT;
151
    //------------- Receive Ports - Comma Detection and Alignment --------------
152
    input           RXENMCOMMAALIGN0_IN;
153
    input           RXENMCOMMAALIGN1_IN;
154
    input           RXENPCOMMAALIGN0_IN;
155
    input           RXENPCOMMAALIGN1_IN;
156
    //----------------- Receive Ports - RX Data Path interface -----------------
157
    output  [7:0]   RXDATA0_OUT;
158
    output  [7:0]   RXDATA1_OUT;
159
    output          RXRECCLK0_OUT;
160
    output          RXRECCLK1_OUT;
161
    input           RXRESET0_IN;
162
    input           RXRESET1_IN;
163
    input           RXUSRCLK0_IN;
164
    input           RXUSRCLK1_IN;
165
    input           RXUSRCLK20_IN;
166
    input           RXUSRCLK21_IN;
167
    //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
168
    output          RXELECIDLE0_OUT;
169
    output          RXELECIDLE1_OUT;
170
    input           RXN0_IN;
171
    input           RXN1_IN;
172
    input           RXP0_IN;
173
    input           RXP1_IN;
174
    //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
175
    input           RXBUFRESET0_IN;
176
    input           RXBUFRESET1_IN;
177
    output  [2:0]   RXBUFSTATUS0_OUT;
178
    output  [2:0]   RXBUFSTATUS1_OUT;
179
    //------------------- Shared Ports - Tile and PLL Ports --------------------
180
    input           CLKIN_IN;
181
    input           GTPRESET_IN;
182
    output          PLLLKDET_OUT;
183
    output          REFCLKOUT_OUT;
184
    output          RESETDONE0_OUT;
185
    output          RESETDONE1_OUT;
186
    //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
187
    input           TXCHARDISPMODE0_IN;
188
    input           TXCHARDISPMODE1_IN;
189
    input           TXCHARDISPVAL0_IN;
190
    input           TXCHARDISPVAL1_IN;
191
    input           TXCHARISK0_IN;
192
    input           TXCHARISK1_IN;
193
    //----------- Transmit Ports - TX Buffering and Phase Alignment ------------
194
    output  [1:0]   TXBUFSTATUS0_OUT;
195
    output  [1:0]   TXBUFSTATUS1_OUT;
196
    //---------------- Transmit Ports - TX Data Path interface -----------------
197
    input   [7:0]   TXDATA0_IN;
198
    input   [7:0]   TXDATA1_IN;
199
    output          TXOUTCLK0_OUT;
200
    output          TXOUTCLK1_OUT;
201
    input           TXRESET0_IN;
202
    input           TXRESET1_IN;
203
    input           TXUSRCLK0_IN;
204
    input           TXUSRCLK1_IN;
205
    input           TXUSRCLK20_IN;
206
    input           TXUSRCLK21_IN;
207
    //------------- Transmit Ports - TX Driver and OOB signalling --------------
208
    output          TXN0_OUT;
209
    output          TXN1_OUT;
210
    output          TXP0_OUT;
211
    output          TXP1_OUT;
212
 
213
 
214
 
215
//***************************** Wire Declarations *****************************
216
 
217
    // ground and vcc signals
218
    wire            tied_to_ground_i;
219
    wire    [63:0]  tied_to_ground_vec_i;
220
    wire            tied_to_vcc_i;
221
    wire    [63:0]  tied_to_vcc_vec_i;
222
 
223
 
224
 
225
 
226
    //RX Datapath signals
227
    wire    [15:0]  rxdata0_i;
228
    wire            rxchariscomma0_float_i;
229
    wire            rxcharisk0_float_i;
230
    wire            rxdisperr0_float_i;
231
    wire            rxnotintable0_float_i;
232
    wire            rxrundisp0_float_i;
233
 
234
    //TX Datapath signals
235
    wire    [15:0]  txdata0_i;
236
 
237
    // Electrical idle reset logic signals
238
    wire    [2:0]   loopback0_i;
239
    wire            rxelecidle0_i;
240
    wire            resetdone0_i;
241
 
242
    //RX Datapath signals
243
    wire    [15:0]  rxdata1_i;
244
    wire            rxchariscomma1_float_i;
245
    wire            rxcharisk1_float_i;
246
    wire            rxdisperr1_float_i;
247
    wire            rxnotintable1_float_i;
248
    wire            rxrundisp1_float_i;
249
 
250
    //TX Datapath signals
251
    wire    [15:0]  txdata1_i;
252
 
253
    // Electrical idle reset logic signals
254
    wire    [2:0]   loopback1_i;
255
    wire            rxelecidle1_i;
256
    wire            resetdone1_i;
257
 
258
// 
259
//********************************* Main Body of Code**************************
260
 
261
    //-------------------------  Static signal Assigments ---------------------   
262
 
263
    assign tied_to_ground_i             = 1'b0;
264
    assign tied_to_ground_vec_i         = 64'h0000000000000000;
265
    assign tied_to_vcc_i                = 1'b1;
266
    assign tied_to_vcc_vec_i            = 64'hffffffffffffffff;
267
 
268
 
269
 
270
 
271
 
272
    //-------------------  GTP Datapath byte mapping  -----------------
273
 
274
 
275
    assign  RXDATA0_OUT    =   rxdata0_i[7:0];
276
 
277
    assign  txdata0_i    =   {tied_to_ground_vec_i[7:0],TXDATA0_IN};
278
 
279
    assign  RXDATA1_OUT    =   rxdata1_i[7:0];
280
 
281
    assign  txdata1_i    =   {tied_to_ground_vec_i[7:0],TXDATA1_IN};
282
 
283
 
284
 
285
 
286
 
287
 
288
 
289
    //-------------------------  Electrical Idle Reset Circuit  ---------------
290
 
291
    assign  RXELECIDLE0_OUT             =   rxelecidle0_i;
292
    assign  RESETDONE0_OUT              =   resetdone0_i;
293
    assign  loopback0_i                 =   LOOPBACK0_IN;
294
    assign  RXELECIDLE1_OUT             =   rxelecidle1_i;
295
    assign  RESETDONE1_OUT              =   resetdone1_i;
296
    assign  loopback1_i                 =   LOOPBACK1_IN;
297
 
298
 
299
    //------------------------- GT11 Instantiations  --------------------------   
300
 
301
    GTP_DUAL #
302
    (
303
        //_______________________ Simulation-Only Attributes __________________
304
        .SIM_RECEIVER_DETECT_PASS0   ("TRUE"),
305
        .SIM_RECEIVER_DETECT_PASS1   ("TRUE"),
306
        .SIM_GTPRESET_SPEEDUP        (TILE_SIM_GTPRESET_SPEEDUP),
307
        .SIM_PLL_PERDIV2             (TILE_SIM_PLL_PERDIV2),
308
        .SIM_MODE                    ("FAST"),
309
 
310
        //___________________________ Shared Attributes _______________________
311
 
312
        //---------------------- Tile and PLL Attributes ----------------------
313
 
314
        .CLK25_DIVIDER               (5),
315
        .CLKINDC_B                   ("TRUE"),
316
        .OOB_CLK_DIVIDER             (4),
317
        .OVERSAMPLE_MODE             ("FALSE"),
318
        .PLL_DIVSEL_FB               (2),
319
        .PLL_DIVSEL_REF              (1),
320
        .PLL_TXDIVSEL_COMM_OUT       (1),
321
        .TX_SYNC_FILTERB             (1),
322
 
323
 
324
        //______________________ Transmit Interface Attributes ________________
325
 
326
        //----------------- TX Buffering and Phase Alignment ------------------   
327
 
328
        .TX_BUFFER_USE_0            ("TRUE"),
329
        .TX_XCLK_SEL_0              ("TXOUT"),
330
        .TXRX_INVERT_0              (5'b00000),
331
 
332
        .TX_BUFFER_USE_1            ("TRUE"),
333
        .TX_XCLK_SEL_1              ("TXOUT"),
334
        .TXRX_INVERT_1              (5'b00000),
335
 
336
        //------------------- TX Serial Line Rate settings --------------------   
337
 
338
        .PLL_TXDIVSEL_OUT_0         (2),
339
 
340
        .PLL_TXDIVSEL_OUT_1         (2),
341
 
342
        //------------------- TX Driver and OOB signalling --------------------  
343
 
344
         .TX_DIFF_BOOST_0           ("TRUE"),
345
 
346
         .TX_DIFF_BOOST_1           ("TRUE"),
347
 
348
        //---------------- TX Pipe Control for PCI Express/SATA ---------------
349
 
350
        .COM_BURST_VAL_0            (4'b1111),
351
 
352
        .COM_BURST_VAL_1            (4'b1111),
353
 
354
        //_______________________ Receive Interface Attributes ________________
355
 
356
        //---------- RX Driver,OOB signalling,Coupling and Eq.,CDR ------------  
357
 
358
        .AC_CAP_DIS_0               ("TRUE"),
359
        .OOBDETECT_THRESHOLD_0      (3'b001),
360
        .PMA_CDR_SCAN_0             (27'h6c07640),
361
        .PMA_RX_CFG_0               (25'h09f0088),
362
        .RCV_TERM_GND_0             ("FALSE"),
363
        .RCV_TERM_MID_0             ("FALSE"),
364
        .RCV_TERM_VTTRX_0           ("FALSE"),
365
        .TERMINATION_IMP_0          (50),
366
 
367
        .AC_CAP_DIS_1               ("TRUE"),
368
        .OOBDETECT_THRESHOLD_1      (3'b001),
369
        .PMA_CDR_SCAN_1             (27'h6c07640),
370
        .PMA_RX_CFG_1               (25'h09f0088),
371
        .RCV_TERM_GND_1             ("FALSE"),
372
        .RCV_TERM_MID_1             ("FALSE"),
373
        .RCV_TERM_VTTRX_1           ("FALSE"),
374
        .TERMINATION_IMP_1          (50),
375
 
376
        .PCS_COM_CFG                (28'h1680a0e),
377
        .TERMINATION_CTRL           (5'b10100),
378
        .TERMINATION_OVRD           ("FALSE"),
379
 
380
        //------------------- RX Serial Line Rate Settings --------------------   
381
 
382
        .PLL_RXDIVSEL_OUT_0         (2),
383
        .PLL_SATA_0                 ("FALSE"),
384
 
385
        .PLL_RXDIVSEL_OUT_1         (2),
386
        .PLL_SATA_1                 ("FALSE"),
387
 
388
 
389
        //------------------------- PRBS Detection ----------------------------  
390
 
391
        .PRBS_ERR_THRESHOLD_0       (32'h00000001),
392
 
393
        .PRBS_ERR_THRESHOLD_1       (32'h00000001),
394
 
395
        //------------------- Comma Detection and Alignment -------------------  
396
 
397
        .ALIGN_COMMA_WORD_0         (1),
398
        .COMMA_10B_ENABLE_0         (10'b0001111111),
399
        .COMMA_DOUBLE_0             ("FALSE"),
400
        .DEC_MCOMMA_DETECT_0        ("TRUE"),
401
        .DEC_PCOMMA_DETECT_0        ("TRUE"),
402
        .DEC_VALID_COMMA_ONLY_0     ("FALSE"),
403
        .MCOMMA_10B_VALUE_0         (10'b1010000011),
404
        .MCOMMA_DETECT_0            ("TRUE"),
405
        .PCOMMA_10B_VALUE_0         (10'b0101111100),
406
        .PCOMMA_DETECT_0            ("TRUE"),
407
        .RX_SLIDE_MODE_0            ("PCS"),
408
 
409
        .ALIGN_COMMA_WORD_1         (1),
410
        .COMMA_10B_ENABLE_1         (10'b0001111111),
411
        .COMMA_DOUBLE_1             ("FALSE"),
412
        .DEC_MCOMMA_DETECT_1        ("TRUE"),
413
        .DEC_PCOMMA_DETECT_1        ("TRUE"),
414
        .DEC_VALID_COMMA_ONLY_1     ("FALSE"),
415
        .MCOMMA_10B_VALUE_1         (10'b1010000011),
416
        .MCOMMA_DETECT_1            ("TRUE"),
417
        .PCOMMA_10B_VALUE_1         (10'b0101111100),
418
        .PCOMMA_DETECT_1            ("TRUE"),
419
        .RX_SLIDE_MODE_1            ("PCS"),
420
 
421
 
422
        //------------------- RX Loss-of-sync State Machine -------------------  
423
 
424
        .RX_LOSS_OF_SYNC_FSM_0      ("FALSE"),
425
        .RX_LOS_INVALID_INCR_0      (8),
426
        .RX_LOS_THRESHOLD_0         (128),
427
 
428
        .RX_LOSS_OF_SYNC_FSM_1      ("FALSE"),
429
        .RX_LOS_INVALID_INCR_1      (8),
430
        .RX_LOS_THRESHOLD_1         (128),
431
 
432
        //------------ RX Elastic Buffer and Phase alignment ports ------------   
433
 
434
        .RX_BUFFER_USE_0            ("TRUE"),
435
        .RX_XCLK_SEL_0              ("RXREC"),
436
 
437
        .RX_BUFFER_USE_1            ("TRUE"),
438
        .RX_XCLK_SEL_1              ("RXREC"),
439
 
440
        //--------------------- Clock Correction Attributes -------------------   
441
 
442
        .CLK_CORRECT_USE_0          ("TRUE"),
443
        .CLK_COR_ADJ_LEN_0          (2),
444
        .CLK_COR_DET_LEN_0          (2),
445
        .CLK_COR_INSERT_IDLE_FLAG_0 ("FALSE"),
446
        .CLK_COR_KEEP_IDLE_0        ("FALSE"),
447
        .CLK_COR_MAX_LAT_0          (18),
448
        .CLK_COR_MIN_LAT_0          (16),
449
        .CLK_COR_PRECEDENCE_0       ("TRUE"),
450
        .CLK_COR_REPEAT_WAIT_0      (0),
451
        .CLK_COR_SEQ_1_1_0          (10'b0110111100),
452
        .CLK_COR_SEQ_1_2_0          (10'b0001010000),
453
        .CLK_COR_SEQ_1_3_0          (10'b0000000000),
454
        .CLK_COR_SEQ_1_4_0          (10'b0000000000),
455
        .CLK_COR_SEQ_1_ENABLE_0     (4'b0011),
456
        .CLK_COR_SEQ_2_1_0          (10'b0110111100),
457
        .CLK_COR_SEQ_2_2_0          (10'b0010110101),
458
        .CLK_COR_SEQ_2_3_0          (10'b0000000000),
459
        .CLK_COR_SEQ_2_4_0          (10'b0000000000),
460
        .CLK_COR_SEQ_2_ENABLE_0     (4'b0011),
461
        .CLK_COR_SEQ_2_USE_0        ("TRUE"),
462
        .RX_DECODE_SEQ_MATCH_0      ("TRUE"),
463
 
464
        .CLK_CORRECT_USE_1          ("TRUE"),
465
        .CLK_COR_ADJ_LEN_1          (2),
466
        .CLK_COR_DET_LEN_1          (2),
467
        .CLK_COR_INSERT_IDLE_FLAG_1 ("FALSE"),
468
        .CLK_COR_KEEP_IDLE_1        ("FALSE"),
469
        .CLK_COR_MAX_LAT_1          (18),
470
        .CLK_COR_MIN_LAT_1          (16),
471
        .CLK_COR_PRECEDENCE_1       ("TRUE"),
472
        .CLK_COR_REPEAT_WAIT_1      (0),
473
        .CLK_COR_SEQ_1_1_1          (10'b0110111100),
474
        .CLK_COR_SEQ_1_2_1          (10'b0001010000),
475
        .CLK_COR_SEQ_1_3_1          (10'b0000000000),
476
        .CLK_COR_SEQ_1_4_1          (10'b0000000000),
477
        .CLK_COR_SEQ_1_ENABLE_1     (4'b0011),
478
        .CLK_COR_SEQ_2_1_1          (10'b0110111100),
479
        .CLK_COR_SEQ_2_2_1          (10'b0010110101),
480
        .CLK_COR_SEQ_2_3_1          (10'b0000000000),
481
        .CLK_COR_SEQ_2_4_1          (10'b0000000000),
482
        .CLK_COR_SEQ_2_ENABLE_1     (4'b0011),
483
        .CLK_COR_SEQ_2_USE_1        ("TRUE"),
484
        .RX_DECODE_SEQ_MATCH_1      ("TRUE"),
485
 
486
        //-------------------- Channel Bonding Attributes ---------------------   
487
 
488
        .CHAN_BOND_1_MAX_SKEW_0     (7),
489
        .CHAN_BOND_2_MAX_SKEW_0     (7),
490
        .CHAN_BOND_LEVEL_0          (TILE_CHAN_BOND_LEVEL_0),
491
        .CHAN_BOND_MODE_0           (TILE_CHAN_BOND_MODE_0),
492
        .CHAN_BOND_SEQ_1_1_0        (10'b0000000000),
493
        .CHAN_BOND_SEQ_1_2_0        (10'b0000000000),
494
        .CHAN_BOND_SEQ_1_3_0        (10'b0000000000),
495
        .CHAN_BOND_SEQ_1_4_0        (10'b0000000000),
496
        .CHAN_BOND_SEQ_1_ENABLE_0   (4'b0000),
497
        .CHAN_BOND_SEQ_2_1_0        (10'b0000000000),
498
        .CHAN_BOND_SEQ_2_2_0        (10'b0000000000),
499
        .CHAN_BOND_SEQ_2_3_0        (10'b0000000000),
500
        .CHAN_BOND_SEQ_2_4_0        (10'b0000000000),
501
        .CHAN_BOND_SEQ_2_ENABLE_0   (4'b0000),
502
        .CHAN_BOND_SEQ_2_USE_0      ("FALSE"),
503
        .CHAN_BOND_SEQ_LEN_0        (1),
504
        .PCI_EXPRESS_MODE_0         ("FALSE"),
505
 
506
        .CHAN_BOND_1_MAX_SKEW_1     (7),
507
        .CHAN_BOND_2_MAX_SKEW_1     (7),
508
        .CHAN_BOND_LEVEL_1          (TILE_CHAN_BOND_LEVEL_1),
509
        .CHAN_BOND_MODE_1           (TILE_CHAN_BOND_MODE_1),
510
        .CHAN_BOND_SEQ_1_1_1        (10'b0000000000),
511
        .CHAN_BOND_SEQ_1_2_1        (10'b0000000000),
512
        .CHAN_BOND_SEQ_1_3_1        (10'b0000000000),
513
        .CHAN_BOND_SEQ_1_4_1        (10'b0000000000),
514
        .CHAN_BOND_SEQ_1_ENABLE_1   (4'b0000),
515
        .CHAN_BOND_SEQ_2_1_1        (10'b0000000000),
516
        .CHAN_BOND_SEQ_2_2_1        (10'b0000000000),
517
        .CHAN_BOND_SEQ_2_3_1        (10'b0000000000),
518
        .CHAN_BOND_SEQ_2_4_1        (10'b0000000000),
519
        .CHAN_BOND_SEQ_2_ENABLE_1   (4'b0000),
520
        .CHAN_BOND_SEQ_2_USE_1      ("FALSE"),
521
        .CHAN_BOND_SEQ_LEN_1        (1),
522
        .PCI_EXPRESS_MODE_1         ("FALSE"),
523
 
524
        //---------------- RX Attributes for PCI Express/SATA ---------------
525
 
526
        .RX_STATUS_FMT_0            ("PCIE"),
527
        .SATA_BURST_VAL_0           (3'b100),
528
        .SATA_IDLE_VAL_0            (3'b100),
529
        .SATA_MAX_BURST_0           (9),
530
        .SATA_MAX_INIT_0            (27),
531
        .SATA_MAX_WAKE_0            (9),
532
        .SATA_MIN_BURST_0           (5),
533
        .SATA_MIN_INIT_0            (15),
534
        .SATA_MIN_WAKE_0            (5),
535
        .TRANS_TIME_FROM_P2_0       (16'h003c),
536
        .TRANS_TIME_NON_P2_0        (16'h0019),
537
        .TRANS_TIME_TO_P2_0         (16'h0064),
538
 
539
        .RX_STATUS_FMT_1            ("PCIE"),
540
        .SATA_BURST_VAL_1           (3'b100),
541
        .SATA_IDLE_VAL_1            (3'b100),
542
        .SATA_MAX_BURST_1           (9),
543
        .SATA_MAX_INIT_1            (27),
544
        .SATA_MAX_WAKE_1            (9),
545
        .SATA_MIN_BURST_1           (5),
546
        .SATA_MIN_INIT_1            (15),
547
        .SATA_MIN_WAKE_1            (5),
548
        .TRANS_TIME_FROM_P2_1       (16'h003c),
549
        .TRANS_TIME_NON_P2_1        (16'h0019),
550
        .TRANS_TIME_TO_P2_1         (16'h0064)
551
     )
552
     gtp_dual_i
553
     (
554
 
555
        //---------------------- Loopback and Powerdown Ports ----------------------
556
        .LOOPBACK0                      (loopback0_i),
557
        .LOOPBACK1                      (loopback1_i),
558
        .RXPOWERDOWN0                   (RXPOWERDOWN0_IN),
559
        .RXPOWERDOWN1                   (RXPOWERDOWN1_IN),
560
        .TXPOWERDOWN0                   (TXPOWERDOWN0_IN),
561
        .TXPOWERDOWN1                   (TXPOWERDOWN1_IN),
562
        //--------------------- Receive Ports - 8b10b Decoder ----------------------
563
        .RXCHARISCOMMA0                 ({rxchariscomma0_float_i,RXCHARISCOMMA0_OUT}),
564
        .RXCHARISCOMMA1                 ({rxchariscomma1_float_i,RXCHARISCOMMA1_OUT}),
565
        .RXCHARISK0                     ({rxcharisk0_float_i,RXCHARISK0_OUT}),
566
        .RXCHARISK1                     ({rxcharisk1_float_i,RXCHARISK1_OUT}),
567
        .RXDEC8B10BUSE0                 (tied_to_vcc_i),
568
        .RXDEC8B10BUSE1                 (tied_to_vcc_i),
569
        .RXDISPERR0                     ({rxdisperr0_float_i,RXDISPERR0_OUT}),
570
        .RXDISPERR1                     ({rxdisperr1_float_i,RXDISPERR1_OUT}),
571
        .RXNOTINTABLE0                  ({rxnotintable0_float_i,RXNOTINTABLE0_OUT}),
572
        .RXNOTINTABLE1                  ({rxnotintable1_float_i,RXNOTINTABLE1_OUT}),
573
        .RXRUNDISP0                     ({rxrundisp0_float_i,RXRUNDISP0_OUT}),
574
        .RXRUNDISP1                     ({rxrundisp1_float_i,RXRUNDISP1_OUT}),
575
        //----------------- Receive Ports - Channel Bonding Ports ------------------
576
        .RXCHANBONDSEQ0                 (),
577
        .RXCHANBONDSEQ1                 (),
578
        .RXCHBONDI0                     (tied_to_ground_vec_i[2:0]),
579
        .RXCHBONDI1                     (tied_to_ground_vec_i[2:0]),
580
        .RXCHBONDO0                     (),
581
        .RXCHBONDO1                     (),
582
        .RXENCHANSYNC0                  (tied_to_ground_i),
583
        .RXENCHANSYNC1                  (tied_to_ground_i),
584
        //----------------- Receive Ports - Clock Correction Ports -----------------
585
        .RXCLKCORCNT0                   (RXCLKCORCNT0_OUT),
586
        .RXCLKCORCNT1                   (RXCLKCORCNT1_OUT),
587
        //------------- Receive Ports - Comma Detection and Alignment --------------
588
        .RXBYTEISALIGNED0               (),
589
        .RXBYTEISALIGNED1               (),
590
        .RXBYTEREALIGN0                 (),
591
        .RXBYTEREALIGN1                 (),
592
        .RXCOMMADET0                    (),
593
        .RXCOMMADET1                    (),
594
        .RXCOMMADETUSE0                 (tied_to_vcc_i),
595
        .RXCOMMADETUSE1                 (tied_to_vcc_i),
596
        .RXENMCOMMAALIGN0               (RXENMCOMMAALIGN0_IN),
597
        .RXENMCOMMAALIGN1               (RXENMCOMMAALIGN1_IN),
598
        .RXENPCOMMAALIGN0               (RXENPCOMMAALIGN0_IN),
599
        .RXENPCOMMAALIGN1               (RXENPCOMMAALIGN1_IN),
600
        .RXSLIDE0                       (tied_to_ground_i),
601
        .RXSLIDE1                       (tied_to_ground_i),
602
        //--------------------- Receive Ports - PRBS Detection ---------------------
603
        .PRBSCNTRESET0                  (tied_to_ground_i),
604
        .PRBSCNTRESET1                  (tied_to_ground_i),
605
        .RXENPRBSTST0                   (tied_to_ground_vec_i[1:0]),
606
        .RXENPRBSTST1                   (tied_to_ground_vec_i[1:0]),
607
        .RXPRBSERR0                     (),
608
        .RXPRBSERR1                     (),
609
        //----------------- Receive Ports - RX Data Path interface -----------------
610
        .RXDATA0                        (rxdata0_i),
611
        .RXDATA1                        (rxdata1_i),
612
        .RXDATAWIDTH0                   (tied_to_ground_i),
613
        .RXDATAWIDTH1                   (tied_to_ground_i),
614
        .RXRECCLK0                      (RXRECCLK0_OUT),
615
        .RXRECCLK1                      (RXRECCLK1_OUT),
616
        .RXRESET0                       (RXRESET0_IN),
617
        .RXRESET1                       (RXRESET1_IN),
618
        .RXUSRCLK0                      (RXUSRCLK0_IN),
619
        .RXUSRCLK1                      (RXUSRCLK1_IN),
620
        .RXUSRCLK20                     (RXUSRCLK20_IN),
621
        .RXUSRCLK21                     (RXUSRCLK21_IN),
622
        //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
623
        .RXCDRRESET0                    (tied_to_ground_i),
624
        .RXCDRRESET1                    (tied_to_ground_i),
625
        .RXELECIDLE0                    (rxelecidle0_i),
626
        .RXELECIDLE1                    (rxelecidle1_i),
627
        .RXELECIDLERESET0               (tied_to_ground_i),
628
        .RXELECIDLERESET1               (tied_to_ground_i),
629
        .RXENEQB0                       (tied_to_vcc_i),
630
        .RXENEQB1                       (tied_to_vcc_i),
631
        .RXEQMIX0                       (tied_to_ground_vec_i[1:0]),
632
        .RXEQMIX1                       (tied_to_ground_vec_i[1:0]),
633
        .RXEQPOLE0                      (tied_to_ground_vec_i[3:0]),
634
        .RXEQPOLE1                      (tied_to_ground_vec_i[3:0]),
635
        .RXN0                           (RXN0_IN),
636
        .RXN1                           (RXN1_IN),
637
        .RXP0                           (RXP0_IN),
638
        .RXP1                           (RXP1_IN),
639
        //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
640
        .RXBUFRESET0                    (RXBUFRESET0_IN),
641
        .RXBUFRESET1                    (RXBUFRESET1_IN),
642
        .RXBUFSTATUS0                   (RXBUFSTATUS0_OUT),
643
        .RXBUFSTATUS1                   (RXBUFSTATUS1_OUT),
644
        .RXCHANISALIGNED0               (),
645
        .RXCHANISALIGNED1               (),
646
        .RXCHANREALIGN0                 (),
647
        .RXCHANREALIGN1                 (),
648
        .RXPMASETPHASE0                 (tied_to_ground_i),
649
        .RXPMASETPHASE1                 (tied_to_ground_i),
650
        .RXSTATUS0                      (),
651
        .RXSTATUS1                      (),
652
        //------------- Receive Ports - RX Loss-of-sync State Machine --------------
653
        .RXLOSSOFSYNC0                  (),
654
        .RXLOSSOFSYNC1                  (),
655
        //-------------------- Receive Ports - RX Oversampling ---------------------
656
        .RXENSAMPLEALIGN0               (tied_to_ground_i),
657
        .RXENSAMPLEALIGN1               (tied_to_ground_i),
658
        .RXOVERSAMPLEERR0               (),
659
        .RXOVERSAMPLEERR1               (),
660
        //------------ Receive Ports - RX Pipe Control for PCI Express -------------
661
        .PHYSTATUS0                     (),
662
        .PHYSTATUS1                     (),
663
        .RXVALID0                       (),
664
        .RXVALID1                       (),
665
        //--------------- Receive Ports - RX Polarity Control Ports ----------------
666
        .RXPOLARITY0                    (tied_to_ground_i),
667
        .RXPOLARITY1                    (tied_to_ground_i),
668
        //----------- Shared Ports - Dynamic Reconfiguration Port (DRP) ------------
669
        .DADDR                          (tied_to_ground_vec_i[6:0]),
670
        .DCLK                           (tied_to_ground_i),
671
        .DEN                            (tied_to_ground_i),
672
        .DI                             (tied_to_ground_vec_i[15:0]),
673
        .DO                             (),
674
        .DRDY                           (),
675
        .DWE                            (tied_to_ground_i),
676
        //------------------- Shared Ports - Tile and PLL Ports --------------------
677
        .CLKIN                          (CLKIN_IN),
678
        .GTPRESET                       (GTPRESET_IN),
679
        .GTPTEST                        (tied_to_ground_vec_i[3:0]),
680
        .INTDATAWIDTH                   (tied_to_vcc_i),
681
        .PLLLKDET                       (PLLLKDET_OUT),
682
        .PLLLKDETEN                     (tied_to_vcc_i),
683
        .PLLPOWERDOWN                   (tied_to_ground_i),
684
        .REFCLKOUT                      (REFCLKOUT_OUT),
685
        .REFCLKPWRDNB                   (tied_to_vcc_i),
686
        .RESETDONE0                     (resetdone0_i),
687
        .RESETDONE1                     (resetdone1_i),
688
        .RXENELECIDLERESETB             (tied_to_vcc_i),
689
        .TXENPMAPHASEALIGN              (tied_to_ground_i),
690
        .TXPMASETPHASE                  (tied_to_ground_i),
691
        //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
692
        .TXBYPASS8B10B0                 (tied_to_ground_vec_i[1:0]),
693
        .TXBYPASS8B10B1                 (tied_to_ground_vec_i[1:0]),
694
        .TXCHARDISPMODE0                ({tied_to_ground_i,TXCHARDISPMODE0_IN}),
695
        .TXCHARDISPMODE1                ({tied_to_ground_i,TXCHARDISPMODE1_IN}),
696
        .TXCHARDISPVAL0                 ({tied_to_ground_i,TXCHARDISPVAL0_IN}),
697
        .TXCHARDISPVAL1                 ({tied_to_ground_i,TXCHARDISPVAL1_IN}),
698
        .TXCHARISK0                     ({tied_to_ground_i,TXCHARISK0_IN}),
699
        .TXCHARISK1                     ({tied_to_ground_i,TXCHARISK1_IN}),
700
        .TXENC8B10BUSE0                 (tied_to_vcc_i),
701
        .TXENC8B10BUSE1                 (tied_to_vcc_i),
702
        .TXKERR0                        (),
703
        .TXKERR1                        (),
704
        .TXRUNDISP0                     (),
705
        .TXRUNDISP1                     (),
706
        //----------- Transmit Ports - TX Buffering and Phase Alignment ------------
707
        .TXBUFSTATUS0                   (TXBUFSTATUS0_OUT),
708
        .TXBUFSTATUS1                   (TXBUFSTATUS1_OUT),
709
        //---------------- Transmit Ports - TX Data Path interface -----------------
710
        .TXDATA0                        (txdata0_i),
711
        .TXDATA1                        (txdata1_i),
712
        .TXDATAWIDTH0                   (tied_to_ground_i),
713
        .TXDATAWIDTH1                   (tied_to_ground_i),
714
        .TXOUTCLK0                      (TXOUTCLK0_OUT),
715
        .TXOUTCLK1                      (TXOUTCLK1_OUT),
716
        .TXRESET0                       (TXRESET0_IN),
717
        .TXRESET1                       (TXRESET1_IN),
718
        .TXUSRCLK0                      (TXUSRCLK0_IN),
719
        .TXUSRCLK1                      (TXUSRCLK1_IN),
720
        .TXUSRCLK20                     (TXUSRCLK20_IN),
721
        .TXUSRCLK21                     (TXUSRCLK21_IN),
722
        //------------- Transmit Ports - TX Driver and OOB signalling --------------
723
        .TXBUFDIFFCTRL0                 (3'b000),
724
        .TXBUFDIFFCTRL1                 (3'b000),
725
        .TXDIFFCTRL0                    (3'b000),
726
        .TXDIFFCTRL1                    (3'b000),
727
        .TXINHIBIT0                     (tied_to_ground_i),
728
        .TXINHIBIT1                     (tied_to_ground_i),
729
        .TXN0                           (TXN0_OUT),
730
        .TXN1                           (TXN1_OUT),
731
        .TXP0                           (TXP0_OUT),
732
        .TXP1                           (TXP1_OUT),
733
        .TXPREEMPHASIS0                 (3'b000),
734
        .TXPREEMPHASIS1                 (3'b000),
735
        //------------------- Transmit Ports - TX PRBS Generator -------------------
736
        .TXENPRBSTST0                   (tied_to_ground_vec_i[1:0]),
737
        .TXENPRBSTST1                   (tied_to_ground_vec_i[1:0]),
738
        //------------------ Transmit Ports - TX Polarity Control ------------------
739
        .TXPOLARITY0                    (tied_to_ground_i),
740
        .TXPOLARITY1                    (tied_to_ground_i),
741
        //--------------- Transmit Ports - TX Ports for PCI Express ----------------
742
        .TXDETECTRX0                    (tied_to_ground_i),
743
        .TXDETECTRX1                    (tied_to_ground_i),
744
        .TXELECIDLE0                    (tied_to_ground_i),
745
        .TXELECIDLE1                    (tied_to_ground_i),
746
        //------------------- Transmit Ports - TX Ports for SATA -------------------
747
        .TXCOMSTART0                    (tied_to_ground_i),
748
        .TXCOMSTART1                    (tied_to_ground_i),
749
        .TXCOMTYPE0                     (tied_to_ground_i),
750
        .TXCOMTYPE1                     (tied_to_ground_i)
751
 
752
     );
753
 
754
endmodule
755
 
756
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.