OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [boardsupport/] [v5/] [v5_emac_v1_6_100Mb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
//-----------------------------------------------------------------------------
2
// Title      : Virtex-5 Ethernet MAC Wrapper
3
//-----------------------------------------------------------------------------
4
// File       : v5_emac_v1_6.v
5
// Author     : Xilinx
6
//-----------------------------------------------------------------------------
7
// Copyright (c) 2004-2008 by Xilinx, Inc. All rights reserved.
8
// This text/file contains proprietary, confidential
9
// information of Xilinx, Inc., is distributed under license
10
// from Xilinx, Inc., and may be used, copied and/or
11
// disclosed only pursuant to the terms of a valid license
12
// agreement with Xilinx, Inc. Xilinx hereby grants you
13
// a license to use this text/file solely for design, simulation,
14
// implementation and creation of design files limited
15
// to Xilinx devices or technologies. Use with non-Xilinx
16
// devices or technologies is expressly prohibited and
17
// immediately terminates your license unless covered by
18
// a separate agreement.
19
//
20
// Xilinx is providing this design, code, or information
21
// "as is" solely for use in developing programs and
22
// solutions for Xilinx devices. By providing this design,
23
// code, or information as one possible implementation of
24
// this feature, application or standard, Xilinx is making no
25
// representation that this implementation is free from any
26
// claims of infringement. You are responsible for
27
// obtaining any rights you may require for your implementation.
28
// Xilinx expressly disclaims any warranty whatsoever with
29
// respect to the adequacy of the implementation, including
30
// but not limited to any warranties or representations that this
31
// implementation is free from claims of infringement, implied
32
// warranties of merchantability or fitness for a particular
33
// purpose.
34
//
35
// Xilinx products are not intended for use in life support
36
// appliances, devices, or systems. Use in such applications are
37
// expressly prohibited.
38
//
39
// This copyright and support notice must be retained as part
40
// of this text at all times. (c) Copyright 2004-2008 Xilinx, Inc.
41
// All rights reserved.
42
 
43
//------------------------------------------------------------------------------
44
// Description:  This wrapper file instantiates the full Virtex-5 Ethernet 
45
//               MAC (EMAC) primitive.  For one or both of the two Ethernet MACs
46
//               (EMAC0/EMAC1):
47
//
48
//               * all unused input ports on the primitive will be tied to the
49
//                 appropriate logic level;
50
//
51
//               * all unused output ports on the primitive will be left 
52
//                 unconnected;
53
//
54
//               * the Tie-off Vector will be connected based on the options 
55
//                 selected from CORE Generator;
56
//
57
//               * only used ports will be connected to the ports of this 
58
//                 wrapper file.
59
//
60
//               This simplified wrapper should therefore be used as the 
61
//               instantiation template for the EMAC in customer designs.
62
//------------------------------------------------------------------------------
63
 
64
`timescale 1 ps / 1 ps
65
 
66
 
67
//------------------------------------------------------------------------------
68
// The module declaration for the top level wrapper.
69
//------------------------------------------------------------------------------
70
(* X_CORE_INFO = "v5_emac_v1_6, Coregen 11.1" *)
71
module v5_emac_v1_6
72
(
73
    // Client Receiver Interface - EMAC0
74
    EMAC0CLIENTRXCLIENTCLKOUT,
75
    CLIENTEMAC0RXCLIENTCLKIN,
76
    EMAC0CLIENTRXD,
77
    EMAC0CLIENTRXDVLD,
78
    EMAC0CLIENTRXDVLDMSW,
79
    EMAC0CLIENTRXGOODFRAME,
80
    EMAC0CLIENTRXBADFRAME,
81
    EMAC0CLIENTRXFRAMEDROP,
82
    EMAC0CLIENTRXSTATS,
83
    EMAC0CLIENTRXSTATSVLD,
84
    EMAC0CLIENTRXSTATSBYTEVLD,
85
 
86
    // Client Transmitter Interface - EMAC0
87
    EMAC0CLIENTTXCLIENTCLKOUT,
88
    CLIENTEMAC0TXCLIENTCLKIN,
89
    CLIENTEMAC0TXD,
90
    CLIENTEMAC0TXDVLD,
91
    CLIENTEMAC0TXDVLDMSW,
92
    EMAC0CLIENTTXACK,
93
    CLIENTEMAC0TXFIRSTBYTE,
94
    CLIENTEMAC0TXUNDERRUN,
95
    EMAC0CLIENTTXCOLLISION,
96
    EMAC0CLIENTTXRETRANSMIT,
97
    CLIENTEMAC0TXIFGDELAY,
98
    EMAC0CLIENTTXSTATS,
99
    EMAC0CLIENTTXSTATSVLD,
100
    EMAC0CLIENTTXSTATSBYTEVLD,
101
 
102
    // MAC Control Interface - EMAC0
103
    CLIENTEMAC0PAUSEREQ,
104
    CLIENTEMAC0PAUSEVAL,
105
 
106
    // Clock Signal - EMAC0
107
    GTX_CLK_0,
108
    PHYEMAC0TXGMIIMIICLKIN,
109
    EMAC0PHYTXGMIIMIICLKOUT,
110
 
111
    // SGMII Interface - EMAC0
112
    RXDATA_0,
113
    TXDATA_0,
114
    DCM_LOCKED_0,
115
    AN_INTERRUPT_0,
116
    SIGNAL_DETECT_0,
117
    PHYAD_0,
118
    ENCOMMAALIGN_0,
119
    LOOPBACKMSB_0,
120
    MGTRXRESET_0,
121
    MGTTXRESET_0,
122
    POWERDOWN_0,
123
    SYNCACQSTATUS_0,
124
    RXCLKCORCNT_0,
125
    RXBUFSTATUS_0,
126
    RXCHARISCOMMA_0,
127
    RXCHARISK_0,
128
    RXDISPERR_0,
129
    RXNOTINTABLE_0,
130
    RXREALIGN_0,
131
    RXRUNDISP_0,
132
    TXBUFERR_0,
133
    TXCHARDISPMODE_0,
134
    TXCHARDISPVAL_0,
135
    TXCHARISK_0,
136
    TXRUNDISP_0,
137
 
138
    EMAC0SPEEDIS10100,
139
 
140
 
141
 
142
 
143
    // Asynchronous Reset
144
    RESET
145
);
146
 
147
    //--------------------------------------------------------------------------
148
    // Port Declarations
149
    //--------------------------------------------------------------------------
150
 
151
 
152
    // Client Receiver Interface - EMAC0
153
    output          EMAC0CLIENTRXCLIENTCLKOUT;
154
    input           CLIENTEMAC0RXCLIENTCLKIN;
155
    output   [7:0]  EMAC0CLIENTRXD;
156
    output          EMAC0CLIENTRXDVLD;
157
    output          EMAC0CLIENTRXDVLDMSW;
158
    output          EMAC0CLIENTRXGOODFRAME;
159
    output          EMAC0CLIENTRXBADFRAME;
160
    output          EMAC0CLIENTRXFRAMEDROP;
161
    output   [6:0]  EMAC0CLIENTRXSTATS;
162
    output          EMAC0CLIENTRXSTATSVLD;
163
    output          EMAC0CLIENTRXSTATSBYTEVLD;
164
 
165
    // Client Transmitter Interface - EMAC0
166
    output          EMAC0CLIENTTXCLIENTCLKOUT;
167
    input           CLIENTEMAC0TXCLIENTCLKIN;
168
    input    [7:0]  CLIENTEMAC0TXD;
169
    input           CLIENTEMAC0TXDVLD;
170
    input           CLIENTEMAC0TXDVLDMSW;
171
    output          EMAC0CLIENTTXACK;
172
    input           CLIENTEMAC0TXFIRSTBYTE;
173
    input           CLIENTEMAC0TXUNDERRUN;
174
    output          EMAC0CLIENTTXCOLLISION;
175
    output          EMAC0CLIENTTXRETRANSMIT;
176
    input    [7:0]  CLIENTEMAC0TXIFGDELAY;
177
    output          EMAC0CLIENTTXSTATS;
178
    output          EMAC0CLIENTTXSTATSVLD;
179
    output          EMAC0CLIENTTXSTATSBYTEVLD;
180
 
181
    // MAC Control Interface - EMAC0
182
    input           CLIENTEMAC0PAUSEREQ;
183
    input   [15:0]  CLIENTEMAC0PAUSEVAL;
184
 
185
    // Clock Signal - EMAC0
186
    input           GTX_CLK_0;
187
    output          EMAC0PHYTXGMIIMIICLKOUT;
188
    input           PHYEMAC0TXGMIIMIICLKIN;
189
 
190
    // SGMII Interface - EMAC0
191
    input    [7:0]  RXDATA_0;
192
    output   [7:0]  TXDATA_0;
193
    input           DCM_LOCKED_0;
194
    output          AN_INTERRUPT_0;
195
    input           SIGNAL_DETECT_0;
196
    input    [4:0]  PHYAD_0;
197
    output          ENCOMMAALIGN_0;
198
    output          LOOPBACKMSB_0;
199
    output          MGTRXRESET_0;
200
    output          MGTTXRESET_0;
201
    output          POWERDOWN_0;
202
    output          SYNCACQSTATUS_0;
203
    input    [2:0]  RXCLKCORCNT_0;
204
    input    [1:0]  RXBUFSTATUS_0;
205
    input           RXCHARISCOMMA_0;
206
    input           RXCHARISK_0;
207
    input           RXDISPERR_0;
208
    input           RXNOTINTABLE_0;
209
    input           RXREALIGN_0;
210
    input           RXRUNDISP_0;
211
    input           TXBUFERR_0;
212
    output          TXCHARDISPMODE_0;
213
    output          TXCHARDISPVAL_0;
214
    output          TXCHARISK_0;
215
    input           TXRUNDISP_0;
216
 
217
    output          EMAC0SPEEDIS10100;
218
 
219
 
220
 
221
 
222
    // Asynchronous Reset
223
    input           RESET;
224
 
225
 
226
    //--------------------------------------------------------------------------
227
    // Wire Declarations 
228
    //--------------------------------------------------------------------------
229
 
230
 
231
    wire    [15:0]  client_rx_data_0_i;
232
    wire    [15:0]  client_tx_data_0_i;
233
 
234
 
235
 
236
 
237
    //--------------------------------------------------------------------------
238
    // Main Body of Code 
239
    //--------------------------------------------------------------------------
240
 
241
 
242
    // 8-bit client data on EMAC0
243
    assign EMAC0CLIENTRXD = client_rx_data_0_i[7:0];
244
    assign #4000 client_tx_data_0_i = {8'b00000000, CLIENTEMAC0TXD};
245
 
246
 
247
 
248
 
249
    //--------------------------------------------------------------------------
250
    // Instantiate the Virtex-5 Embedded Ethernet EMAC
251
    //--------------------------------------------------------------------------
252
    TEMAC v5_emac
253
    (
254
        .RESET                          (RESET),
255
 
256
        // EMAC0
257
        .EMAC0CLIENTRXCLIENTCLKOUT      (EMAC0CLIENTRXCLIENTCLKOUT),
258
        .CLIENTEMAC0RXCLIENTCLKIN       (CLIENTEMAC0RXCLIENTCLKIN),
259
        .EMAC0CLIENTRXD                 (client_rx_data_0_i),
260
        .EMAC0CLIENTRXDVLD              (EMAC0CLIENTRXDVLD),
261
        .EMAC0CLIENTRXDVLDMSW           (EMAC0CLIENTRXDVLDMSW),
262
        .EMAC0CLIENTRXGOODFRAME         (EMAC0CLIENTRXGOODFRAME),
263
        .EMAC0CLIENTRXBADFRAME          (EMAC0CLIENTRXBADFRAME),
264
        .EMAC0CLIENTRXFRAMEDROP         (EMAC0CLIENTRXFRAMEDROP),
265
        .EMAC0CLIENTRXSTATS             (EMAC0CLIENTRXSTATS),
266
        .EMAC0CLIENTRXSTATSVLD          (EMAC0CLIENTRXSTATSVLD),
267
        .EMAC0CLIENTRXSTATSBYTEVLD      (EMAC0CLIENTRXSTATSBYTEVLD),
268
 
269
        .EMAC0CLIENTTXCLIENTCLKOUT      (EMAC0CLIENTTXCLIENTCLKOUT),
270
        .CLIENTEMAC0TXCLIENTCLKIN       (CLIENTEMAC0TXCLIENTCLKIN),
271
        .CLIENTEMAC0TXD                 (client_tx_data_0_i),
272
        .CLIENTEMAC0TXDVLD              (CLIENTEMAC0TXDVLD),
273
        .CLIENTEMAC0TXDVLDMSW           (CLIENTEMAC0TXDVLDMSW),
274
        .EMAC0CLIENTTXACK               (EMAC0CLIENTTXACK),
275
        .CLIENTEMAC0TXFIRSTBYTE         (CLIENTEMAC0TXFIRSTBYTE),
276
        .CLIENTEMAC0TXUNDERRUN          (CLIENTEMAC0TXUNDERRUN),
277
        .EMAC0CLIENTTXCOLLISION         (EMAC0CLIENTTXCOLLISION),
278
        .EMAC0CLIENTTXRETRANSMIT        (EMAC0CLIENTTXRETRANSMIT),
279
        .CLIENTEMAC0TXIFGDELAY          (CLIENTEMAC0TXIFGDELAY),
280
        .EMAC0CLIENTTXSTATS             (EMAC0CLIENTTXSTATS),
281
        .EMAC0CLIENTTXSTATSVLD          (EMAC0CLIENTTXSTATSVLD),
282
        .EMAC0CLIENTTXSTATSBYTEVLD      (EMAC0CLIENTTXSTATSBYTEVLD),
283
 
284
        .CLIENTEMAC0PAUSEREQ            (CLIENTEMAC0PAUSEREQ),
285
        .CLIENTEMAC0PAUSEVAL            (CLIENTEMAC0PAUSEVAL),
286
 
287
        .PHYEMAC0GTXCLK                 (GTX_CLK_0),
288
        .EMAC0PHYTXGMIIMIICLKOUT        (EMAC0PHYTXGMIIMIICLKOUT),
289
        .PHYEMAC0TXGMIIMIICLKIN         (PHYEMAC0TXGMIIMIICLKIN),
290
 
291
        .PHYEMAC0RXCLK                  (1'b0),
292
        .PHYEMAC0MIITXCLK               (),
293
        .PHYEMAC0RXD                    (RXDATA_0),
294
        .PHYEMAC0RXDV                   (RXREALIGN_0),
295
        .PHYEMAC0RXER                   (1'b0),
296
        .EMAC0PHYTXCLK                  (),
297
        .EMAC0PHYTXD                    (TXDATA_0),
298
        .EMAC0PHYTXEN                   (),
299
        .EMAC0PHYTXER                   (),
300
        .PHYEMAC0COL                    (TXRUNDISP_0),
301
        .PHYEMAC0CRS                    (1'b0),
302
        .CLIENTEMAC0DCMLOCKED           (DCM_LOCKED_0),
303
        .EMAC0CLIENTANINTERRUPT         (AN_INTERRUPT_0),
304
        .PHYEMAC0SIGNALDET              (SIGNAL_DETECT_0),
305
        .PHYEMAC0PHYAD                  (PHYAD_0),
306
        .EMAC0PHYENCOMMAALIGN           (ENCOMMAALIGN_0),
307
        .EMAC0PHYLOOPBACKMSB            (LOOPBACKMSB_0),
308
        .EMAC0PHYMGTRXRESET             (MGTRXRESET_0),
309
        .EMAC0PHYMGTTXRESET             (MGTTXRESET_0),
310
        .EMAC0PHYPOWERDOWN              (POWERDOWN_0),
311
        .EMAC0PHYSYNCACQSTATUS          (SYNCACQSTATUS_0),
312
        .PHYEMAC0RXCLKCORCNT            (RXCLKCORCNT_0),
313
        .PHYEMAC0RXBUFSTATUS            (RXBUFSTATUS_0),
314
        .PHYEMAC0RXBUFERR               (1'b0),
315
        .PHYEMAC0RXCHARISCOMMA          (RXCHARISCOMMA_0),
316
        .PHYEMAC0RXCHARISK              (RXCHARISK_0),
317
        .PHYEMAC0RXCHECKINGCRC          (1'b0),
318
        .PHYEMAC0RXCOMMADET             (1'b0),
319
        .PHYEMAC0RXDISPERR              (RXDISPERR_0),
320
        .PHYEMAC0RXLOSSOFSYNC           (2'b00),
321
        .PHYEMAC0RXNOTINTABLE           (RXNOTINTABLE_0),
322
        .PHYEMAC0RXRUNDISP              (RXRUNDISP_0),
323
        .PHYEMAC0TXBUFERR               (TXBUFERR_0),
324
        .EMAC0PHYTXCHARDISPMODE         (TXCHARDISPMODE_0),
325
        .EMAC0PHYTXCHARDISPVAL          (TXCHARDISPVAL_0),
326
        .EMAC0PHYTXCHARISK              (TXCHARISK_0),
327
 
328
        .EMAC0PHYMCLKOUT                (),
329
        .PHYEMAC0MCLKIN                 (1'b0),
330
        .PHYEMAC0MDIN                   (1'b1),
331
        .EMAC0PHYMDOUT                  (),
332
        .EMAC0PHYMDTRI                  (),
333
        .EMAC0SPEEDIS10100              (EMAC0SPEEDIS10100),
334
 
335
        // EMAC1
336
        .EMAC1CLIENTRXCLIENTCLKOUT      (),
337
        .CLIENTEMAC1RXCLIENTCLKIN       (1'b0),
338
        .EMAC1CLIENTRXD                 (),
339
        .EMAC1CLIENTRXDVLD              (),
340
        .EMAC1CLIENTRXDVLDMSW           (),
341
        .EMAC1CLIENTRXGOODFRAME         (),
342
        .EMAC1CLIENTRXBADFRAME          (),
343
        .EMAC1CLIENTRXFRAMEDROP         (),
344
        .EMAC1CLIENTRXSTATS             (),
345
        .EMAC1CLIENTRXSTATSVLD          (),
346
        .EMAC1CLIENTRXSTATSBYTEVLD      (),
347
 
348
        .EMAC1CLIENTTXCLIENTCLKOUT      (),
349
        .CLIENTEMAC1TXCLIENTCLKIN       (1'b0),
350
        .CLIENTEMAC1TXD                 (16'h0000),
351
        .CLIENTEMAC1TXDVLD              (1'b0),
352
        .CLIENTEMAC1TXDVLDMSW           (1'b0),
353
        .EMAC1CLIENTTXACK               (),
354
        .CLIENTEMAC1TXFIRSTBYTE         (1'b0),
355
        .CLIENTEMAC1TXUNDERRUN          (1'b0),
356
        .EMAC1CLIENTTXCOLLISION         (),
357
        .EMAC1CLIENTTXRETRANSMIT        (),
358
        .CLIENTEMAC1TXIFGDELAY          (8'h00),
359
        .EMAC1CLIENTTXSTATS             (),
360
        .EMAC1CLIENTTXSTATSVLD          (),
361
        .EMAC1CLIENTTXSTATSBYTEVLD      (),
362
 
363
        .CLIENTEMAC1PAUSEREQ            (1'b0),
364
        .CLIENTEMAC1PAUSEVAL            (16'h0000),
365
 
366
        .PHYEMAC1GTXCLK                 (1'b0),
367
        .EMAC1PHYTXGMIIMIICLKOUT        (),
368
        .PHYEMAC1TXGMIIMIICLKIN         (1'b0),
369
 
370
        .PHYEMAC1RXCLK                  (1'b0),
371
        .PHYEMAC1RXD                    (8'h00),
372
        .PHYEMAC1RXDV                   (1'b0),
373
        .PHYEMAC1RXER                   (1'b0),
374
        .PHYEMAC1MIITXCLK               (1'b0),
375
        .EMAC1PHYTXCLK                  (),
376
        .EMAC1PHYTXD                    (),
377
        .EMAC1PHYTXEN                   (),
378
        .EMAC1PHYTXER                   (),
379
        .PHYEMAC1COL                    (1'b0),
380
        .PHYEMAC1CRS                    (1'b0),
381
 
382
        .CLIENTEMAC1DCMLOCKED           (1'b1),
383
        .EMAC1CLIENTANINTERRUPT         (),
384
        .PHYEMAC1SIGNALDET              (1'b0),
385
        .PHYEMAC1PHYAD                  (5'b00000),
386
        .EMAC1PHYENCOMMAALIGN           (),
387
        .EMAC1PHYLOOPBACKMSB            (),
388
        .EMAC1PHYMGTRXRESET             (),
389
        .EMAC1PHYMGTTXRESET             (),
390
        .EMAC1PHYPOWERDOWN              (),
391
        .EMAC1PHYSYNCACQSTATUS          (),
392
        .PHYEMAC1RXCLKCORCNT            (3'b000),
393
        .PHYEMAC1RXBUFSTATUS            (2'b00),
394
        .PHYEMAC1RXBUFERR               (1'b0),
395
        .PHYEMAC1RXCHARISCOMMA          (1'b0),
396
        .PHYEMAC1RXCHARISK              (1'b0),
397
        .PHYEMAC1RXCHECKINGCRC          (1'b0),
398
        .PHYEMAC1RXCOMMADET             (1'b0),
399
        .PHYEMAC1RXDISPERR              (1'b0),
400
        .PHYEMAC1RXLOSSOFSYNC           (2'b00),
401
        .PHYEMAC1RXNOTINTABLE           (1'b0),
402
        .PHYEMAC1RXRUNDISP              (1'b0),
403
        .PHYEMAC1TXBUFERR               (1'b0),
404
        .EMAC1PHYTXCHARDISPMODE         (),
405
        .EMAC1PHYTXCHARDISPVAL          (),
406
        .EMAC1PHYTXCHARISK              (),
407
 
408
        .EMAC1PHYMCLKOUT                (),
409
        .PHYEMAC1MCLKIN                 (1'b0),
410
        .PHYEMAC1MDIN                   (1'b0),
411
        .EMAC1PHYMDOUT                  (),
412
        .EMAC1PHYMDTRI                  (),
413
        .EMAC1SPEEDIS10100              (),
414
 
415
        // Host Interface 
416
        .HOSTCLK                        (1'b0),
417
        .HOSTOPCODE                     (2'b00),
418
        .HOSTREQ                        (1'b0),
419
        .HOSTMIIMSEL                    (1'b0),
420
        .HOSTADDR                       (10'b0000000000),
421
        .HOSTWRDATA                     (32'h00000000),
422
        .HOSTMIIMRDY                    (),
423
        .HOSTRDDATA                     (),
424
        .HOSTEMAC1SEL                   (1'b0),
425
 
426
        // DCR Interface
427
        .DCREMACCLK                     (1'b0),
428
        .DCREMACABUS                    (10'h000),
429
        .DCREMACREAD                    (1'b0),
430
        .DCREMACWRITE                   (1'b0),
431
        .DCREMACDBUS                    (32'h00000000),
432
        .EMACDCRACK                     (),
433
        .EMACDCRDBUS                    (),
434
        .DCREMACENABLE                  (1'b0),
435
        .DCRHOSTDONEIR                  ()
436
    );
437
    //------
438
    // EMAC0
439
    //------
440
    // Configure the PCS/PMA logic
441
    // PCS/PMA Reset not asserted (normal operating mode)
442
    //synthesis attribute EMAC0_PHYRESET of v5_emac is "FALSE"
443
    defparam v5_emac.EMAC0_PHYRESET = "FALSE";
444
    // PCS/PMA Auto-Negotiation Enable (not enabled)
445
    //synthesis attribute EMAC0_PHYINITAUTONEG_ENABLE of v5_emac is "TRUE"
446
    defparam v5_emac.EMAC0_PHYINITAUTONEG_ENABLE = "TRUE";
447
    // PCS/PMA Isolate (not enabled)
448
    //synthesis attribute EMAC0_PHYISOLATE of v5_emac is "FALSE"
449
    defparam v5_emac.EMAC0_PHYISOLATE = "FALSE";
450
    // PCS/PMA Powerdown (not in power down: normal operating mode)
451
    //synthesis attribute EMAC0_PHYPOWERDOWN of v5_emac is "FALSE"
452
    defparam v5_emac.EMAC0_PHYPOWERDOWN = "FALSE";
453
    // PCS/PMA Loopback (not enabled)
454
    //synthesis attribute EMAC0_PHYLOOPBACKMSB of v5_emac is "FALSE"
455
    defparam v5_emac.EMAC0_PHYLOOPBACKMSB = "FALSE";
456
    // Do not allow over/underflow in the GTP during auto-negotiation
457
    //synthesis attribute EMAC0_CONFIGVEC_79 of v5_emac is "TRUE"
458
    defparam v5_emac.EMAC0_CONFIGVEC_79 = "TRUE";
459
    // GT loopback (not enabled)
460
    //synthesis attribute EMAC0_GTLOOPBACK of v5_emac is "FALSE"
461
    defparam v5_emac.EMAC0_GTLOOPBACK = "FALSE";
462
    // Do not allow TX without having established a valid link
463
    //synthesis attribute EMAC0_UNIDIRECTION_ENABLE of v5_emac is "FALSE"
464
    defparam v5_emac.EMAC0_UNIDIRECTION_ENABLE = "FALSE";
465
    //synthesis attribute EMAC0_LINKTIMERVAL of v5_emac is 9'h032
466
    defparam v5_emac.EMAC0_LINKTIMERVAL = 9'h032;
467
 
468
    // Configure the MAC operating mode
469
    // MDIO is enabled
470
    //synthesis attribute EMAC0_MDIO_ENABLE of v5_emac is "TRUE"
471
    defparam v5_emac.EMAC0_MDIO_ENABLE = "TRUE";
472
 
473
         //---------------------------------------------------------------------------
474
    // Speed is defaulted to 1000Mb/s
475
    //synthesis attribute EMAC0_SPEED_LSB of v5_emac is "TRUE"
476
    defparam v5_emac.EMAC0_SPEED_LSB = "TRUE";
477
    //synthesis attribute EMAC0_SPEED_MSB of v5_emac is "FALSE"
478
    defparam v5_emac.EMAC0_SPEED_MSB = "FALSE";
479
         //---------------------------------------------------------------------------
480
 
481
    //synthesis attribute EMAC0_USECLKEN of v5_emac is "FALSE"
482
    defparam v5_emac.EMAC0_USECLKEN = "FALSE";
483
    //synthesis attribute EMAC0_BYTEPHY of v5_emac is "FALSE"
484
    defparam v5_emac.EMAC0_BYTEPHY = "FALSE";
485
 
486
    //synthesis attribute EMAC0_RGMII_ENABLE of v5_emac is "FALSE"
487
    defparam v5_emac.EMAC0_RGMII_ENABLE = "FALSE";
488
    // SGMII is used to connect to PHY
489
    //synthesis attribute EMAC0_SGMII_ENABLE of v5_emac is "TRUE"
490
    defparam v5_emac.EMAC0_SGMII_ENABLE = "TRUE";
491
    //synthesis attribute EMAC0_1000BASEX_ENABLE of v5_emac is "FALSE"
492
    defparam v5_emac.EMAC0_1000BASEX_ENABLE = "FALSE";
493
    // The Host I/F is not  in use
494
    //synthesis attribute EMAC0_HOST_ENABLE of v5_emac is "FALSE"
495
    defparam v5_emac.EMAC0_HOST_ENABLE = "FALSE";
496
    // 8-bit interface for Tx client
497
    //synthesis attribute EMAC0_TX16BITCLIENT_ENABLE of v5_emac is "FALSE"
498
    defparam v5_emac.EMAC0_TX16BITCLIENT_ENABLE = "FALSE";
499
    // 8-bit interface for Rx client
500
    //synthesis attribute EMAC0_RX16BITCLIENT_ENABLE of v5_emac is "FALSE"
501
    defparam v5_emac.EMAC0_RX16BITCLIENT_ENABLE = "FALSE";
502
    // The Address Filter (not enabled)
503
    //synthesis attribute EMAC0_ADDRFILTER_ENABLE of v5_emac is "FALSE"
504
    defparam v5_emac.EMAC0_ADDRFILTER_ENABLE = "FALSE";
505
 
506
    // MAC configuration defaults
507
    // Rx Length/Type checking enabled (standard IEEE operation)
508
    //synthesis attribute EMAC0_LTCHECK_DISABLE of v5_emac is "FALSE"
509
    defparam v5_emac.EMAC0_LTCHECK_DISABLE = "FALSE";
510
    // Rx Flow Control (enabled)
511
    //synthesis attribute EMAC0_RXFLOWCTRL_ENABLE of v5_emac is "TRUE"
512
    defparam v5_emac.EMAC0_RXFLOWCTRL_ENABLE = "TRUE";
513
    // Tx Flow Control (enabled)
514
    //synthesis attribute EMAC0_TXFLOWCTRL_ENABLE of v5_emac is "TRUE"
515
    defparam v5_emac.EMAC0_TXFLOWCTRL_ENABLE = "TRUE";
516
    // Transmitter is not held in reset not asserted (normal operating mode)
517
    //synthesis attribute EMAC0_TXRESET of v5_emac is "FALSE"
518
    defparam v5_emac.EMAC0_TXRESET = "FALSE";
519
    // Transmitter Jumbo Frames (not enabled)
520
    //synthesis attribute EMAC0_TXJUMBOFRAME_ENABLE of v5_emac is "FALSE"
521
    defparam v5_emac.EMAC0_TXJUMBOFRAME_ENABLE = "FALSE";
522
    // Transmitter In-band FCS (not enabled)
523
    //synthesis attribute EMAC0_TXINBANDFCS_ENABLE of v5_emac is "FALSE"
524
    defparam v5_emac.EMAC0_TXINBANDFCS_ENABLE = "FALSE";
525
    // Transmitter Enabled
526
    //synthesis attribute EMAC0_TX_ENABLE of v5_emac is "TRUE"
527
    defparam v5_emac.EMAC0_TX_ENABLE = "TRUE";
528
    // Transmitter VLAN mode (not enabled)
529
    //synthesis attribute EMAC0_TXVLAN_ENABLE of v5_emac is "FALSE"
530
    defparam v5_emac.EMAC0_TXVLAN_ENABLE = "FALSE";
531
    // Transmitter Half Duplex mode (not enabled)
532
    //synthesis attribute EMAC0_TXHALFDUPLEX of v5_emac is "FALSE"
533
    defparam v5_emac.EMAC0_TXHALFDUPLEX = "FALSE";
534
    // Transmitter IFG Adjust (not enabled)
535
    //synthesis attribute EMAC0_TXIFGADJUST_ENABLE of v5_emac is "FALSE"
536
    defparam v5_emac.EMAC0_TXIFGADJUST_ENABLE = "FALSE";
537
    // Receiver is not held in reset not asserted (normal operating mode)
538
    //synthesis attribute EMAC0_RXRESET of v5_emac is "FALSE"
539
    defparam v5_emac.EMAC0_RXRESET = "FALSE";
540
    // Receiver Jumbo Frames (not enabled)
541
    //synthesis attribute EMAC0_RXJUMBOFRAME_ENABLE of v5_emac is "FALSE"
542
    defparam v5_emac.EMAC0_RXJUMBOFRAME_ENABLE = "FALSE";
543
    // Receiver In-band FCS (not enabled)
544
    //synthesis attribute EMAC0_RXINBANDFCS_ENABLE of v5_emac is "FALSE"
545
    defparam v5_emac.EMAC0_RXINBANDFCS_ENABLE = "FALSE";
546
    // Receiver Enabled
547
    //synthesis attribute EMAC0_RX_ENABLE of v5_emac is "TRUE"
548
    defparam v5_emac.EMAC0_RX_ENABLE = "TRUE";
549
    // Receiver VLAN mode (not enabled)
550
    //synthesis attribute EMAC0_RXVLAN_ENABLE of v5_emac is "FALSE"
551
    defparam v5_emac.EMAC0_RXVLAN_ENABLE = "FALSE";
552
    // Receiver Half Duplex mode (not enabled)
553
    //synthesis attribute EMAC0_RXHALFDUPLEX of v5_emac is "FALSE"
554
    defparam v5_emac.EMAC0_RXHALFDUPLEX = "FALSE";
555
 
556
    // Set the Pause Address Default
557
    //synthesis attribute EMAC0_PAUSEADDR of v5_emac is 48'hFFEEDDCCBBAA
558
    defparam v5_emac.EMAC0_PAUSEADDR = 48'hFFEEDDCCBBAA;
559
 
560
    //synthesis attribute EMAC0_UNICASTADDR of v5_emac is 48'h0123456789ab
561
    defparam v5_emac.EMAC0_UNICASTADDR = 48'h0123456789ab;
562
 
563
    //synthesis attribute EMAC0_DCRBASEADDR of v5_emac is 8'h00
564
    defparam v5_emac.EMAC0_DCRBASEADDR = 8'h00;
565
 
566
endmodule
567
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.