OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [mux2/] [lpm_mux2_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// LPM Mux Testbench
2
//
3
 
4
module lpm_mux2_tb;
5
 
6
reg [7:0]        in0;
7
reg [7:0]        in1;
8
reg                     s;
9
wire [7:0]       out;
10
 
11
lpm_mux2 #(
12
        .WIDTH(8)
13
) dut (
14
        .in0(in0),
15
        .in1(in1),
16
        .s(s),
17
        .out(out)
18
);
19
 
20
initial
21
begin
22
        in0 = 10;
23
        in1 = 20;
24
        s = 0;
25
        #10 s = 1;
26
        #10 s = 0;
27
end
28
 
29
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.