OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [mux8/] [lpm_mux8.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// LPM Mux
2
// Author: Peter Lieber
3
//
4
 
5
module lpm_mux8
6
(
7
        in0,
8
        in1,
9
        in2,
10
        in3,
11
        in4,
12
        in5,
13
        in6,
14
        in7,
15
        s,
16
        out
17
);
18
 
19
parameter WIDTH = 8;
20
 
21
input           wire            [WIDTH-1:0]              in0;
22
input           wire            [WIDTH-1:0]              in1;
23
input           wire            [WIDTH-1:0]              in2;
24
input           wire            [WIDTH-1:0]              in3;
25
input           wire            [WIDTH-1:0]              in4;
26
input           wire            [WIDTH-1:0]              in5;
27
input           wire            [WIDTH-1:0]              in6;
28
input           wire            [WIDTH-1:0]              in7;
29
input           wire            [2:0]                            s;
30
output  reg             [WIDTH-1:0]      out;
31
 
32
always @(in0 or in1 or in2 or in3 or in4 or in5 or in6 or in7 or s)
33
begin
34
        case (s)
35
                0: out = in0;
36
                1: out = in1;
37
                2: out = in2;
38
                3: out = in3;
39
                4: out = in4;
40
                5: out = in5;
41
                6: out = in6;
42
                default: out = in7;
43
        endcase
44
end
45
 
46
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.