OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [mux8/] [lpm_mux8_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// LPM Mux Testbench
2
//
3
 
4
module lpm_mux8_tb;
5
 
6
reg [7:0]        in0;
7
reg [7:0]        in1;
8
reg [7:0]        in2;
9
reg [7:0]        in3;
10
reg [7:0]        in4;
11
reg [7:0]        in5;
12
reg [7:0]        in6;
13
reg [7:0]        in7;
14
reg [2:0]        s;
15
wire [7:0]       out;
16
 
17
lpm_mux8 #(
18
        .WIDTH(8)
19
) dut (
20
        .in0(in0),
21
        .in1(in1),
22
        .in2(in2),
23
        .in3(in3),
24
        .in4(in4),
25
        .in5(in5),
26
        .in6(in6),
27
        .in7(in7),
28
        .s(s),
29
        .out(out)
30
);
31
 
32
initial
33
begin
34
        in0 = 10;
35
        in1 = 20;
36
        in2 = 30;
37
        in3 = 40;
38
        in4 = 50;
39
        in5 = 60;
40
        in6 = 70;
41
        in7 = 80;
42
        s = 0;
43
        #10 s = 1;
44
        #10 s = 2;
45
        #10 s = 3;
46
        #10 s = 4;
47
        #10 s = 5;
48
        #10 s = 6;
49
        #10 s = 7;
50
end
51
 
52
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.