OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [stopar/] [lpm_stopar.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// Serial to Parallel Shift Register
2
// Author: Peter Lieber
3
//
4
 
5
module lpm_stopar(clk,rst,sin,en,pout);
6
 
7
parameter WIDTH = 8;
8
parameter DEPTH = 2;
9
 
10
input           wire                                                            clk;
11
input           wire                                                            rst;
12
input           wire    [(WIDTH-1):0]                    sin;
13
input           wire                                                            en;
14
output  wire    [(WIDTH*DEPTH-1):0]      pout;
15
 
16
reg     [(WIDTH-1):0]    highreg;
17
 
18
always @(posedge clk)
19
begin
20
        if (rst == 1)
21
                highreg <= 0;
22
        else if (en == 1)
23
        begin
24
                highreg <= sin;
25
        end
26
end
27
 
28
assign pout = {highreg, sin};
29
 
30
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.