OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [stopar/] [sim.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
quit -sim
2
vlog lpm_stopar.v
3
vlog lpm_stopar_tb.v
4
 
5
vsim -L unisims_ver -voptargs=+acc lpm_stopar_tb
6
 
7
add wave -hex sim:/lpm_stopar_tb/*
8
run 200ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.